skip to main content
10.1145/1013235.1013249acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

Microarchitectural techniques for power gating of execution units

Published: 09 August 2004 Publication History

Abstract

Leakage power is a major concern in current and future microprocessor designs. In this paper, we explore the potential of architectural techniques to reduce leakage through power-gating of execution units. This paper first develops parameterized analytical equations that estimate the break-even point for application of power-gating techniques. The potential for power gating execution units is then evaluated, for the range of relevant break-even points determined by the analytical equations, using a state-of-the-art out-of-order superscalar processor model. The power gating potential of the floating-point and fixed-point units of this processor is then evaluated using three different techniques to detect opportunities for entering sleep mode; ideal, time-based, and branch-misprediction-guided. Our results show that using the time-based approach, floating-point units can be put to sleep for up to 28% of the execution cycles at a performance loss of 2%. For the more difficult to power-gate fixed-point units, the branch misprediction guided technique allows the fixed-point units to be put to sleep for up to 40% more of the execution cycles compared to the simpler time-based technique, with similar performance impact. Overall, our experiments demonstrate that architectural techniques can be used effectively in power-gating execution units.

References

[1]
Borkar, S. Design Challenges of Technology Scaling. IEEE Micro 19, 4 (1999).
[2]
Clark, L., Demmons, S., Deutscher, N., and Ricci, F. Standby Power Management for a 0.18um Microprocessor. In ISLPED (2002).
[3]
Dropsho, S., Kursun, V., Albonesi, D. H., Dwarkadas, S., and Friedman, E. G. Managing Static Leakage Energy in Microprocessor Functional Units. In MICRO (2002).
[4]
Duarte, D., Tsai, Y. F., Vijaykrishnan, N., and Irwin, M. J. Evaluating Run-Time Techniques for Leakage Power Reduction. In ASPDAC (2002).
[5]
Flautner, K., Kim, N. S., Martin, S., Blaauw, D., and Mudge, T. Drowsy Caches: Simple Techniques for Reducing Leakage Power. In ISCA (2002).
[6]
Hamzaoglu, F., and Stan, M. R. Circuit-Level Techniques to Control Gate Leakage for sub-100nm CMOS. In ISLPED (2002).
[7]
Heo, S., Barr, K., Hampton, M., and Asanovic, K. Dynamic Fine-Grain Leakage Reduction Using Leakage-Biased Bitlines. In ISCA (137-147, 2002).
[8]
Iyengar, V., Trevillyan, L. H., and Bose, P. Representative Traces for Processor Models with Infinite Cache. In HPCA (1996).
[9]
Johnson, M., Somasekhar, D., Chiou, L., and Roy, K. Leakage Control With Efficient Use of Transistor Stacks in Single Threshold CMOS . IEEE Transactions on VLSI Systems 10 (2002).
[10]
Kao, J., and Chandrakasan, A. Dual-Threshold Voltage Techniques for Low-Power Digital Circuits. IEEE Journal of Solid State Circuits 35 (2000).
[11]
Kaxiras, S., Hu, Z., and Martonosi, M. Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power. In ISCA (2001).
[12]
Moudgill, M., Bose, P., and Moreno, J. H. Validation of Turandot, a Fast Processor Model for Microarchitecture Exploration. In IPCCC (1999).
[13]
Moudgill, M., Wellman, J. D., and Moreno, J. H. Environment for PowerPC Microarchitecture Exploration. IEEE Micro 19, 3 (1999).
[14]
Powell, M., Yang, S., Falsafi, B., Roy, K., and Vijaykumar, T. Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories. In ISLPED (2000).
[15]
Rele, S., Pande, S., Onder, S., and Gupta, R. Optimizing Static Power Dissipation by Functional Units in Superscalar Processors. In Int'l Conf. on Compiler Construction (2002).
[16]
Tendler, J. M., Dodson, J. S., Fields, J. S., Le, H., and Sinharoy, B. POWER4 System Microarchitecture. IBM Journal Research and Development 46, 1 (2002).

Cited By

View all
  • (2024)SPONGE+: A NoC-based power gating scheme for overall router column opening and closing controlMicroelectronics Journal10.1016/j.mejo.2024.106234149(106234)Online publication date: Jul-2024
  • (2024)DBU-PG: energy-efficient noc design using dual-buffering power gatingThe Journal of Supercomputing10.1007/s11227-024-06000-480:10(13632-13656)Online publication date: 1-Jul-2024
  • (2024)Architectures for Self-Powered Edge IntelligenceHandbook of Computer Architecture10.1007/978-981-97-9314-3_9(89-125)Online publication date: 21-Dec-2024
  • Show More Cited By

Index Terms

  1. Microarchitectural techniques for power gating of execution units

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '04: Proceedings of the 2004 international symposium on Low power electronics and design
    August 2004
    414 pages
    ISBN:1581139292
    DOI:10.1145/1013235
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 09 August 2004

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. execution units
    2. low power
    3. microarchitecture
    4. power-gating

    Qualifiers

    • Article

    Conference

    ISLPED04
    Sponsor:
    ISLPED04: International Symposium on Low Power Electronics and Design
    August 9 - 11, 2004
    California, Newport Beach, USA

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)60
    • Downloads (Last 6 weeks)9
    Reflects downloads up to 01 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2024)SPONGE+: A NoC-based power gating scheme for overall router column opening and closing controlMicroelectronics Journal10.1016/j.mejo.2024.106234149(106234)Online publication date: Jul-2024
    • (2024)DBU-PG: energy-efficient noc design using dual-buffering power gatingThe Journal of Supercomputing10.1007/s11227-024-06000-480:10(13632-13656)Online publication date: 1-Jul-2024
    • (2024)Architectures for Self-Powered Edge IntelligenceHandbook of Computer Architecture10.1007/978-981-97-9314-3_9(89-125)Online publication date: 21-Dec-2024
    • (2023)A Survey on Run-time Power Monitors at the EdgeACM Computing Surveys10.1145/359304455:14s(1-33)Online publication date: 18-Apr-2023
    • (2023)Energy-Efficient Multiple Network-on-Chip Architecture With Bandwidth ExpansionIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.324485931:4(442-455)Online publication date: Apr-2023
    • (2023)Application Specific Approximate Behavioral ProcessorIEEE Transactions on Sustainable Computing10.1109/TSUSC.2022.32221178:2(165-179)Online publication date: 1-Apr-2023
    • (2023)Mixed-Precision Architecture for GPU Tensor Cores2023 IEEE Smart World Congress (SWC)10.1109/SWC57546.2023.10448789(1-8)Online publication date: 28-Aug-2023
    • (2023)Characterizing and Understanding Defense Methods for GNNs on GPUsIEEE Computer Architecture Letters10.1109/LCA.2023.330463822:2(137-140)Online publication date: Jul-2023
    • (2023)Integrated analysis of power and performance for cutting edge Internet of Things microprocessor architecturesMicroprocessors and Microsystems10.1016/j.micpro.2023.10481598(104815)Online publication date: Apr-2023
    • (2023)PTTS: Power-aware tensor cores using two-sided sparsityJournal of Parallel and Distributed Computing10.1016/j.jpdc.2022.11.004173(70-82)Online publication date: Mar-2023
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media