skip to main content
10.1145/1057661.1057675acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
Article

A unified processor architecture for RISC & VLIW DSP

Published:17 April 2005Publication History

ABSTRACT

This paper presents a unified processor core with two operation modes. The processor core works as a compiler-friendly MIPS-like core in the RISC mode, and it is a 4-way VLIW in its DSP mode, which has distributed and ping-pong register organization optimized for stream processing. To minimize hardware, the DSP mode has no control construct for program flow, while the data manipulation RISC instructions are executed in the DSP datapath. Moreover, the two operation modes can be changed instruction by instruction within a single program stream via the hierarchical instruction encoding, which also helps to reduce the VLIW code sizes significantly. The processor has been implemented in the UMC 0.18um CMOS technology, and its core size is 3.23mmx3.23mm including the 32KB on-chip memory. It can operate at 208MHz while consuming 380.6mW average power.

References

  1. Intel PXA800F Cellular Processor - Development Manual, Intel Corp., Feb. 2003.Google ScholarGoogle Scholar
  2. OMAP5910 Dual Core Processor - Technical Reference Manual, Texas Instruments, Jan. 2003.Google ScholarGoogle Scholar
  3. M. Levy, "ARM picks up performance," Microprocessor Report, 4/7/03-01.Google ScholarGoogle Scholar
  4. R. A. Quinnell, "Logical combination? Convergence products need both RISC and DSP processors, but merging them may not be the answer," EDN, 1/23/2003.Google ScholarGoogle Scholar
  5. J. L Hennessy, and D. A. Patterson, Computer Architecture - A Quantitative Approach, 3rd Edition, Morgan Kaufmann, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. S. Rixner, W. J. Dally, B. Khailany, P. Mattson, U. J. Kapasi, and J. D. Owens, "Register organization for media processing," in Proc. HPCA-6, 2000, pp.375--386.Google ScholarGoogle Scholar
  7. J. Zalamea, J. Llosa, E. Ayguade, and M. Valero, "Hierarchical clustered register file organization for VLIW processors," in Proc. IPDPS, 2003, pp.77--86. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. P. Faraboschi, G. Brown, J. A. Fisher, G. Desoll, and F. M. O. Homewood, "Lx: a technology platform for customizable VLIW embedded processing," in Proc. ISCA, 2000, pp.203--213. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. E. F. Barry, G. G. Pechanek, and P. R. Marchand, "Register file indexing methods and apparatus for providing indirect control of register file addressing in a VLIW processor," International Application Published under the Patent Cooperation Treaty (PCT), WO 00/54144, Mar. 9 2000.Google ScholarGoogle Scholar
  10. TMS320C64x DSP Library Programmer's Reference, Texas Instruments Inc., Apr 2002.Google ScholarGoogle Scholar
  11. K. Arora, H. Sharangpani, and R. Gupta, "Copied register files for data processors having many execution units" U.S. Patent 6,629,232, Sep. 30, 2003.Google ScholarGoogle Scholar
  12. A. Kowalczyk et al., "The first MAJC microprocessor: a dual CPU system-on-a-chip," IEEE J. Solid-State Circuits, vol. 36, pp.1609--1616, Nov. 2001.Google ScholarGoogle ScholarCross RefCross Ref
  13. A. Terechko, E. L. Thenaff, M. Garg, J. Eijndhoven, and H. Corporaal, "Inter-cluster communication models for clustered VLIW processors," in Proc. HPCA-9, 2003, pp.354--364. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. H. Pan and K. Asanovic, "Heads and tails: a variable-length instruction format supporting parallel fetch and decode," in Proc. CASES, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. G. Pechanek and S. Vassiliadis, "The ManArray embedded processor architecture," Euromicro Conf., vol.1, pp.348--355, Sep., 2000.Google ScholarGoogle Scholar
  16. G. Fettweis, M. Bolle, J. Kneip, and M. Weiss, "OnDSP: a new architecture for wireless LAN applications," Embedded Processor Forum, May 2002.Google ScholarGoogle Scholar
  17. TMS320C55x DSP Programmer's Guide, Texas Instruments Inc., July 2000.Google ScholarGoogle Scholar
  18. T. Kumura, M. Ikekawa, M. Yoshida, and I. Kuroda, "VLIW DSP for mobile applications," IEEE Signal Processing Mag., pp.10--21, July 2002.Google ScholarGoogle ScholarCross RefCross Ref
  19. R. K. Kolagotla, et al, "A 333-MHz dual-MAC DSP architecture for next-generation wireless applications," in Proc. ICASSP, 2001, pp.1013--1016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. W. B. Pennebaker and J. L. Mitchell, JPEG - Still Image Data Compression Standard, Van Nostrand Reinhold, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. T. J. Lin, C. C. Chang, C. C. Lee, and C. W. Jen, "An efficient VLIW DSP architecture for baseband processing," in Proc. ICCD, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. P. Lapsley, J. Bier, A. Shoham, and E. A. Lee, DSP Processor Fundamentals - Architectures and Features, IEEE Press, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. TriCore 2-32-bit Unified Processor Core v.2.0 Architecture - Architecture Manual, Infineon Technology, June 2003.Google ScholarGoogle Scholar
  24. Y. H. Hu, Programmable Digital Signal Processors - Architecture, Programming, and Applications, Marcel Dekker Inc., 2002.Google ScholarGoogle Scholar

Index Terms

  1. A unified processor architecture for RISC & VLIW DSP

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '05: Proceedings of the 15th ACM Great Lakes symposium on VLSI
      April 2005
      518 pages
      ISBN:1595930574
      DOI:10.1145/1057661
      • General Chair:
      • John Lach,
      • Program Chairs:
      • Gang Qu,
      • Yehea Ismail

      Copyright © 2005 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 17 April 2005

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader