skip to main content
10.1145/1065579.1065731acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Microarchitecture-aware floorplanning using a statistical design of experiments approach

Published:13 June 2005Publication History

ABSTRACT

Since across-chip interconnect delays can exceed a clock cycle in nanometer technologies, it has become essential in high performance designs to add flip-flops on wires with multi-cycle delays. Although such a wire pipelining strategy allows higher operating frequencies, it can reduce the delivered performance of a microarchitecture, since the extra flip-flops inserted may increase the operation latencies and stall cycles. Moreover, the addition of latencies on some wires can have a large impact on the overall performance while other wires are relatively insensitive to additional latencies. This varying sensitivity suggests the need for a throughput-aware strategy for pipelining the interconnects that interacts closely with the physical design step, which determines the lengths of these multicycle wires. We use a statistical design of experiments strategy based on a multifactorial design, which intelligently uses a limited number of simulations to rank the importance of the wires. When applied at the floorplanning level, our results show improvements both in the overall system performance and in the total wire length when compared with an existing technique.

References

  1. S. Borkar, "Obeying Moore's law beyond 0.18 micron," in Proc. IEEE ASIC/SOC, pp. 26--31, Sep. 2000.]]Google ScholarGoogle Scholar
  2. P. Cocchini, "Concurrent flip-flop and repeater insertion for high performance integrated circuits," in Proc. IEEE/ACM ICCAD, pp. 268--273, Nov. 2002.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Hassoun et al., "Optimal buffered routing path constructions for single and multiple clock domain systems," in Proc. IEEE/ACMICCAD, pp. 247--253, Nov. 2002.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. V. Nookala and S. S. Sapatnekar, "Correcting the functionality of a wirepipelined circuit," in Proc. ACM/IEEE DAC, pp. 570--575, Jun. 2004.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. L. Scheffer, "Methodologies and tools for pipelined on-chip interconnect," in Proc. IEEE ICCD, pp. 152--157, Oct. 2002.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. C. Burger and T.M. Austin, "The SimpleScalar tool set, version 2.0," Technical Report CS-TR-97-1342, The University ofWisconsin, Madison, Jun. 1997.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. L. Henning, "SPEC CPU 2000: Measuring CPU performance in the new millennium," IEEE Computers, vol. 33, pp. 28--55, Jul. 2000.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Long et al., "Floorplanning optimization with trajectory piecewise-linear model for pipelined interconnects," in Proc. ACM/IEEE DAC, pp. 640--645, Jun. 2004.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Ekpanyapong et al., "Profile-guided microarchitectural floorplanning for deep submicron processor design," in Proc. ACM/IEEE DAC, pp. 634--639, Jun. 2004.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. Jagannathan et al., "Microarchitecture evaluation with floorplanning and interconnect pipelining," in Proc. ACM/IEEE ASPDAC, pp. 32--35, Jan. 2005.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. D. C. Montgomery, Design and analysis of experiments. New York, NY: John Wiley, 1991.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. J. KleinOsowski and D. J. Lilja, "MinneSPEC: A new SPEC benchmark workload for simulation-based computer architecture research," IEEE Computer Architecture Letters, vol. 1, Jun. 2002.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Cong et al., "Microarchitecture evaluation with physical planning," in Proc. ACM/IEEE DAC, pp. 32--35, Jun. 2003.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. J. Yi et al., "A statistically rigorous approach for improving simulation methodology," in Proc. ACM HPCA, pp. 281--291, Feb. 2003.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. R. Plackett and J. Burman, "The design of optimum multifactorial experiments," Biometrika, vol. 33, pp. 305--325, Jun. 1956.]]Google ScholarGoogle ScholarCross RefCross Ref
  16. C. F. J. Wu and M. Hamada, Experiments: Planning, analysis, and parameter design optimization. New York, NY: John Wiley, 2000.]]Google ScholarGoogle Scholar
  17. S. N. Adya and I. L. Markov, "Fixed-outline floorplanning through better local search," in Proc. IEEE ICCD, pp. 228--334, Oct. 2001.]]Google ScholarGoogle Scholar
  18. J. Cong, "An interconnect-centric design flow for nanometer technologies," Proc. IEEE, vol. 89, pp. 505--528, Apr. 2001.]]Google ScholarGoogle ScholarCross RefCross Ref
  19. M. Ekpanyapong. Private communication, 2004.]]Google ScholarGoogle Scholar

Index Terms

  1. Microarchitecture-aware floorplanning using a statistical design of experiments approach

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '05: Proceedings of the 42nd annual Design Automation Conference
      June 2005
      984 pages
      ISBN:1595930582
      DOI:10.1145/1065579

      Copyright © 2005 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 13 June 2005

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader