skip to main content
research-article
Free Access

The Future of Microprocessors: Chip multiprocessors’ promise of huge performance gains is now a reality.

Published:01 September 2005Publication History
Skip Abstract Section

Abstract

The performance of microprocessors that power modern computers has continued to increase exponentially over the years for two main reasons. First, the transistors that are the heart of the circuits in all processors and memory chips have simply become faster over time on a course described by Moore’s law, and this directly affects the performance of processors built with those transistors. Moreover, actual processor performance has increased faster than Moore’s law would predict, because processor designers have been able to harness the increasing numbers of transistors available on modern chips to extract more parallelism from software.

References

  1. Moore, G. E. 1965. Cramming more components onto integrated circuits. Electronics (April): 114-117.Google ScholarGoogle Scholar
  2. Hennessy, J. L., and Patterson, D. A. 2003. Computer Architecture: A Quantitative Approach, 3rd Edition, San Francisco, CA: Morgan Kaufmann Publishers. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Wall, D. W. 1993. Limits of Instruction-Level Parallelism, WRL Research Report 93/6, Digital Western Research Laboratory, Palo Alto, CA.Google ScholarGoogle Scholar
  4. Barroso, L., Dean, J., and Hoezle, U. 2003. Web search for a planet: the architecture of the Google cluster. IEEE Micro 23 (2): 22-28. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Olukotun, K., Nayfeh, B. A., Hammond, L. Wilson, K. and Chang, K. 1996. The case for a single chip multiprocessor. Proceedings of the 7th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-VII) : 2-11. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Kapil, S. 2003. UltraSPARC Gemini: Dual CPU Processor. In Hot Chips 15 (August), Stanford, CA; http:// www.hotchips.org/archives/.Google ScholarGoogle Scholar
  7. Maruyama, T. 2003. SPARC64 VI: Fujitsu's next generation processor. In Microprocessor Forum (October), San Jose, CA.Google ScholarGoogle Scholar
  8. McNairy, C., and Bhatia, R. 2004. Montecito: the next product in the Itanium processor family. In Hot Chips 16 (August), Stanford, CA; http://www.hotchips. org/archives/.Google ScholarGoogle Scholar
  9. Moore, C. 2000. POWER4 system microarchitecture. In Microprocessor Forum (October), San Jose, CA.Google ScholarGoogle Scholar
  10. Barroso, L. A., Gharachorloo, K., McNamara, R., Nowatzyk, A., Qadeer, S., Sano, B., Smith, S., Stets, R., and Verghese, B. 2000. Piranha: a scalable architecture based on single-chip multiprocessing. In Proceedings of the 27th International Symposium on Computer Architecture (June): 282-293. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Kongetira, P., Aingaran, K., and Olukotun, K. 2005. Niagara: a 32-way multithreaded SPARC processor. IEEE Micro 25 (2): 21-29. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Alverson, R., Callahan, D., Cummings, D., Koblenz, B., Porterfield, A., and Smith, B. 1990. The Tera computer system. In Proceedings of the 1990 International Conference on Supercomputing (June): 1-6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Laudon, J., Gupta, A., and Horowitz, M. 1994. Interleaving: a multithreading technique targeting multiprocessors and workstations. Proceedings of the 6th International Conference on Architectural Support for Programming Languages and Operating Systems: 308-316. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Tullsen, D. M., Eggers, S. J., and Levy, H. M. 1995. Simultaneous multithreading: maximizing on-chip parallelism. In Proceedings of the 22nd International Symposium on Computer Architecture (June): 392-403. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Hammond, L., Carlstrom, B. D., Wong, V., Chen, M., Kozyrakis, C., and Olukotun, K. 2004. Transactional coherence and consistency: simplifying parallel hardware and software. IEEE Micro 24 (6): 92-103. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Hammond, L., Hubbert, B., Siu, M., Prabhu, M., Chen, M., and Olukotun, K. 2000. The Stanford Hydra CMP. IEEE Micro 20 (2): 71-84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Krishnan, V., and Torrellas, J. 1999. A chip multiprocessor architecture with speculative multithreading. IEEE Transactions on Computers 48 (9): 866-880. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Sohi, G., Breach, S., and Vijaykumar, T. 1995. Multiscalar processors. In Proceedings of the 22nd International Symposium on Computer Architecture (June): 414-425. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Steffan, J. G., and Mowry, T. 1998. The potential for using thread-level data speculation to facilitate automatic parallelization. In Proceedings of the 4th International Symposium on High-Performance Computer Architecture (February): 2-13. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. The Future of Microprocessors: Chip multiprocessors’ promise of huge performance gains is now a reality.

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image Queue
        Queue  Volume 3, Issue 7
        Multiprocessors
        September 2005
        50 pages
        ISSN:1542-7730
        EISSN:1542-7749
        DOI:10.1145/1095408
        Issue’s Table of Contents

        Copyright © 2005 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 September 2005

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      HTML Format

      View this article in HTML Format .

      View HTML Format