skip to main content
10.1145/1095890.1095915acmconferencesArticle/Chapter ViewAbstractPublication PagesancsConference Proceedingsconference-collections
Article

Design and analysis of an NoC architecture from performance, reliability and energy perspective

Published: 26 October 2005 Publication History

Abstract

Network-on-Chip (NoC) architectures employing packet-based communication are being increasingly adopted in System-on-Chip (SoC) designs. In addition to providing high performance, the fault tolerance and reliability of these networks is becoming a critical issue due to several artifacts of deep sub-micron technologies. Consequently, it is important for a designer to have access to fast methods for evaluating the performance, reliability, and energy-efficiency of an on-chip network. Towards this end, first, we propose a novel path-sensitive router architecture for low-latency applications. Next, we present a queuing-theory-based model for evaluating the performance and energy behavior of on-chip networks. Then the model is used to demonstrate the effectiveness of our proposed router. The performance (average latency) and energy consumption results from the analytical model are validated with those obtained from a cycle-accurate simulator. Finally, we explore error detection and correction mechanisms that provide different energy-reliability- performance tradeoffs and extend our model to evaluate the on-chip network in the presence of these error protection schemes. Our reliability exploration culminates with the introduction of an array of transient fault protection techniques, both architectural and algorithmic, to tackle reliability issues within the router's individual hardware components. We propose a complete solution safeguarding against both the traditional link faults and internal router upsets, without incurring any significant latency, area and power overhead.

References

[1]
A. Adriahantenaina, H. Charlery, A. Greiner, L. Moriiez, and C. A. Zeferino. SPIN: A Scalable, Packet Switched, On-chip Micro-network. In Proceedings of the DATE, 2003.
[2]
A. Agarwal. Limits on interconnection network. IEEE Transaction on Parallel and Distibuted Systems, 2(4), 1991.
[3]
L. Anghel and M. Nicolaidis. Cost Reduction and Evaluation of Temporary Faults Detecting Technique. In DATE 2000, pages 591--598, March 2000.
[4]
J. M. Baker, S. B. Jr., M. Bucciero, B. Gold, and R. Mahajan. SCMP: A Single-Chip Message Passing Parallel Computer. In Parallel and Distributed Processing Techniques and Applications (PDPTA'02), pages 1485--1491, 2002.
[5]
L. Benini and G. D. Micheli. Networks on Chips: A New SoC Paradigm. IEEE Computer, 35(1):70--78, 2002.
[6]
D. Bertozzi, L. Benini, and G. D. Micheli. Low Power Error Resilient Encoding for On-chip Data Buses. In Proceedings of Design Automation and Test Conference in Europe, March 2002.
[7]
D. Bertozzi, L. Benini, and G. D. Micheli. Low power error resilient encoding for on-chip data buses. In Proceedings of 2002 DATE, 2002.
[8]
Y. Cao, C. Hu, A. B. Kahng, S. Muddu, D. Stroobandt, and D. Sylvester. Effects of Global Interconnect Optimizations on Performance Estimation of Deep Submicron Designs. In International Conference on Computer-Aided Design, pages 56--61, 2000.
[9]
W. J. Dally and B. Towles. Route Packets, Not Wires: On-Chip Interconnection Networks. In Proceedings of the 38th Design Automation Conference, June 2001.
[10]
W. J. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2003.
[11]
T. Dumitras, S. Kerner, and R. Marculescu. Towards On-chip Fault-Tolerant Communication. In Proc. Asia & South Pacific Design Automation Conf.(ASP-DAC), January 2003.
[12]
N. Eisley and L.-S. Peh. High-level power analysis for on-chip networks. In Proceedings of the 7th International Conference on Compilers, Architectures and Synthesis for Embedded Systems (CASES), September 2004.
[13]
A. H. et al. Network on a Chip: An Architecture for Billion Transistor Era. In Proc. of the IEEE NorChip Conference, November 2000.
[14]
D. Flynn. AMBA: Enabling Reusable On-Chip Design. In IEEE Micro, pages 20--27, July 1997.
[15]
R. Ho, K. W. Mai, and M. A. Horowitz. The Future of Wires. In Proceedings of the IEEE, pages 490--504, 2001.
[16]
J. Hu and R. Marculescu. Exploiting the Routing Flexibility for Energy/Performance Aware Mapping of Regular NoC Architectures. In Proc. Design, Automation and Test in Europe Conference, 2003.
[17]
J. Huh, S. W. Keckler, and D. Burger. Exploring the Design Space of Future CMPs. In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques (PACT), 2001.
[18]
R. M. Jingcao Hu. Energy-aware mapping for tile-based noc architectures under performance constraints. In Proc. of ASPDAC, January 2003.
[19]
E. Jung, K. K. H. Yum, and C. R. Das. Calculation of deadline missing probability in a qos capable cluster interconnect. In NCA '01: Proceedings of the IEEE International Symposium on Network Computing and Applications (NCA'01), page 36, Washington, DC, USA, 2001. IEEE Computer Society.
[20]
J. Kim, D. Park, T. Theocharides, N. Vijaykrishnan, and C. R. Das. A low latency router supporting adaptivity for on-chip router. In 42nd DAC 2005, June 2005.
[21]
A. Krstic, Y. M. Jiang, and K. T. Cheng. Pattern Generation for Delay Testing and Dynamic Timing Analysis Considering Power-Supply Noise Effects. IEEE Transactions on CAD, 20(3):416--425, March 2001.
[22]
S. Kumar, A. Jantsch, J. Soininen, M. Forsell, M. Millberg, J. Oberg, K. Tiensyrja, and A. Hemani. A Network on Chip Architecture and Design Methodology. In Proc. IEEE Computer Society Annual Symposium on VLSI, pages 105--112, 2002.
[23]
J. Liang, S. Swaminathan, and R. Tessier. aSOC: A Scalable, Single-Chip Communications Architecture. In the IEEE International Conference on Parallel Architectures and Compilation Techniques, pages 524--529, October 2000.
[24]
R. Marculescu. Networks-On-Chip: The Quest for On-Chip Fault-Tolerant Communication. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI (ISVLSI'03), 2003.
[25]
C. A. Moritz, D. Yeung, and A. Agarwal. SimpleFit: A Framework for Analyzing Design Trade-Offs in Raw Architectures. IEEE TPDS, 12(7):730--742, 2001.
[26]
V. Raghunathan, M. B. Srivastava, and R. K. Gupta. Energy-Aware System Design: A Survey of Techniques for Energy Efficient On-Chip Communication. In Proceedings of the 40th conference on Design automation, pages 900--905, 2003.
[27]
H. Sarbazi-Azad, M. Ould-Khaoua, and L. M. Mackenzie. A performance model of adaptive wormhole routing in k-ary n-cubes in the presence of digit-reversal traffic. J. Supercomput., 22(2):139--159, 2002.
[28]
L. Shang, L.-S. Peh, and N. K. Jha. Dynamic Voltage Scaling with Links for Power Optimization of Interconnection Networks. In Proc. HPCA, February 2003.
[29]
K. L. Shepard and V. Narayanan. Noise in Deep Submicron Digital Design. In IEEE/ACM ICCAD-96, pages 524--531, November 1996.
[30]
P. Shivakumar, M. Kistler, S. Keckler, D. Burger, and L. Alvisi. Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic. In Proceedings of the International Conference on Dependable Systems and Networks, 2002.
[31]
Sonics, Incorporated. http://www.sonicsinc.com.
[32]
S. R. Sridhara and N. R. Shanbhag. Coding for system-on-chip networks: a unified framework. In Design Automation Conference, pages 103--106, June 2004.
[33]
P. Vellanki, N. Banerjee, and K. Chatha. Quality-of-Service and Error Control Techniques for Network-on-Chip Architectures. In Proceedings of the Great Lakes Symposium on VLSI, 2004.
[34]
T. T. Ye, L. Benini, and G. D. Micheli. Packetized On-Chip Interconnect Communication Analysis for MPSoC. In Proc. Design Automation and Test in Europe, pages 344--349, 2003.
[35]
H. Zhang, M. Wan, V. George, and J. Rabaey. Interconnect Architecture Exploration for Low-Energy Reconfigurable Single-Chip DSPs. In Proceedings of the WVLSI, April 1999.
[36]
H. Zimmer and A. Jantsch. A Fault Model Notation and Error-Control Scheme for Switch-to-Switch Buses in a Network-on-Chip. In Proceedings of the 1st IEEE/ACM/IFIP international conference on Hardware/software codesign & system synthesis, pages 188--193, 2003.

Cited By

View all
  • (2021)Designing Efficient NoC-Based Neural Network Architectures for Identification of Epileptic SeizureSN Computer Science10.1007/s42979-021-00756-92:5Online publication date: 30-Jun-2021
  • (2020)Mitigating Multi-Cell Upsets Impacts on Approximate Network on Chip through Unequal Message ProtectionIEICE Electronics Express10.1587/elex.17.20200056Online publication date: 2020
  • (2020)An Efficient NoC-based ANN Framework for Epileptic Seizure Recognition2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS)10.1109/iSES50453.2020.00028(75-80)Online publication date: Dec-2020
  • Show More Cited By

Index Terms

  1. Design and analysis of an NoC architecture from performance, reliability and energy perspective

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ANCS '05: Proceedings of the 2005 ACM symposium on Architecture for networking and communications systems
      October 2005
      230 pages
      ISBN:1595930825
      DOI:10.1145/1095890
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 26 October 2005

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. adaptive routing
      2. networks-on-chip
      3. reliability

      Qualifiers

      • Article

      Conference

      ANCS05

      Acceptance Rates

      Overall Acceptance Rate 88 of 314 submissions, 28%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)44
      • Downloads (Last 6 weeks)9
      Reflects downloads up to 05 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2021)Designing Efficient NoC-Based Neural Network Architectures for Identification of Epileptic SeizureSN Computer Science10.1007/s42979-021-00756-92:5Online publication date: 30-Jun-2021
      • (2020)Mitigating Multi-Cell Upsets Impacts on Approximate Network on Chip through Unequal Message ProtectionIEICE Electronics Express10.1587/elex.17.20200056Online publication date: 2020
      • (2020)An Efficient NoC-based ANN Framework for Epileptic Seizure Recognition2020 IEEE International Symposium on Smart Electronic Systems (iSES) (Formerly iNiS)10.1109/iSES50453.2020.00028(75-80)Online publication date: Dec-2020
      • (2020)Bridging the Gap between Resilient Networks-on-Chip and Real-Time SystemsIEEE Transactions on Emerging Topics in Computing10.1109/TETC.2017.27367838:2(418-430)Online publication date: 1-Apr-2020
      • (2019)Lessons from Teaching Analytical Performance ModelingCompanion of the 2019 ACM/SPEC International Conference on Performance Engineering10.1145/3302541.3311527(79-84)Online publication date: 27-Mar-2019
      • (2018)Analytical Performance Modeling for Computer Systems, Third EditionSynthesis Lectures on Computer Science10.2200/S00859ED3V01Y201806CSL0107:1(1-171)Online publication date: 23-Jul-2018
      • (2018)A Multi-Objective Architecture Optimization Method for Application-Specific Noc Design2018 31st IEEE International System-on-Chip Conference (SOCC)10.1109/SOCC.2018.8618530(130-135)Online publication date: Sep-2018
      • (2018)Unified multi‐objective mapping for network‐on‐chip using genetic‐based hyper‐heuristic algorithmsIET Computers & Digital Techniques10.1049/iet-cdt.2017.015612:4(158-166)Online publication date: 15-Mar-2018
      • (2017)A Comprehensive Reliability Assessment of Fault-Resilient Network-on-Chip Using Analytical ModelIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.273600425:11(3099-3112)Online publication date: Nov-2017
      • (2017)Designing Networks-on-Chip for High Assurance Real-Time Systems2017 IEEE 22nd Pacific Rim International Symposium on Dependable Computing (PRDC)10.1109/PRDC.2017.32(185-194)Online publication date: Jan-2017
      • Show More Cited By

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media