skip to main content
10.1109/ICCAD.2004.1382568acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

A quantitative study and estimation models for extensible instructions in embedded processors

Authors Info & Claims
Published:07 November 2004Publication History

ABSTRACT

Designing extensible instructions is a computationally complex task, due to the large design space each instruction is exposed to. One method of speeding up the design cycle is to characterize instructions and estimate their peculiarities during a design exploration. In this paper, we study and derive three estimation models for extensible instructions: area overhead, latency, and power consumption under a wide range of customization parameters. System decomposition and regression analysis are used as the underlying methods to characterize and analyze extensible instructions. We verify our estimation models using automatically and manually generated extensible instructions, plus extensible instructions used in large real-world applications. The mean absolute error of our estimation models arc as small as: 3.4% (6.7% max.) for area overhead, 5.9% (9.4% max.) for latency, and 4.2% (7.2% max.) for power consumption, compared to estimation through the time consuming synthesis and simulation steps using commercial tools. Our estimation models achieve an average speedup of three orders of magnitude over the commercial tools and thus enable us to conduct a fast and extensive design space exploration that would otherwise not be possible. The estimation models are integrated into our extensible processor tool suite.

References

  1. {1} S. Aditya, B. R. Rau, and V. Kathail, "Automatic architectural synthesis of vliw and epic processors," in ISSS, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. {2} A. Peymandoust, L. Pozzi, P. Ienne, and G. Micheli, "Automatic instruction-set extension and utilization for embedded processors," in ASAP, 2003.Google ScholarGoogle Scholar
  3. {3} H. Zima and B. Chapman, "Supercompilers for parallel and vector computers," in Addison-Wesley (ACM), 1990. Google ScholarGoogle Scholar
  4. {4} Y. Wand and R. Weber, "An ontological model of an information system," in IEEE Tran. of Software Engineering, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. {5} "Splus." Insightful, Inc. (http://www.insightful.com).Google ScholarGoogle Scholar
  6. {6} J. Henkel, "Closing the soc design gap," in IEEE Computer Magazine, vol. 36, Iss. 9, pp. 119-121., 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. {7} K. Keutzer, S. Malik, and A. R. Newton, "From asic to asip: The next design discontinuity," in ICCD, 2002.Google ScholarGoogle Scholar
  8. {8} "Arctangent processor." ARC, Inc. (http://www.arc.com).Google ScholarGoogle Scholar
  9. {9} "Asip-meister." (http://www.eda-meister.org/asip-meister/).Google ScholarGoogle Scholar
  10. {10} "Jazz dsp." Improv Systems, Inc. (http://www.improvsys.com).Google ScholarGoogle Scholar
  11. {11} "Lisatek." CoWare, Inc. (http://www.coware.com).Google ScholarGoogle Scholar
  12. {12} "Xtensa processor." Tensilica, Inc. (http://www.tensilica.com).Google ScholarGoogle Scholar
  13. {13} H. Choi, J.-S. Kim, C. W. Yoon, et al., "Synthesis of application specific instructions for embedded dsp software," in IEEE Trans. Computers, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. {14} V. Kathail, S. Aditya, R. Schreiber, B. R. Rau, D. C. Cron-quist, and M. Sivaraman, "Pico: Automatically designing custom computers," in Computer, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. {15} J. Lee, K. Choi, and N. Dutt, "Efficient instruction encoding for automatic instruction set design of configurable asips," in ICCAD, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. {16} K. Atasu, L. Pozzi, and P. Lenne, "Automatic application-specific instruction-set extensions under microarchitectural constraints," in DAC, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. {17} F. Sun, A. Raghunathan, S. Ravi, and N. K. Jha, "A scalable application specific processor synthesis methodology," in ICCAD, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. {18} N. Clark, W. Tang, and S. Mahlke, "Automatically generating custom instruction set extensions," in WASP, 2002.Google ScholarGoogle Scholar
  19. {19} P. Brisk, A. Kaplan, R. Kastner, and M. Sarrafzadeh, "Instruction generation and regularity extraction for reconfigurable processors," in CASES, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. {20} D. Goodwin and D. Petkov, "Automatically generating custom instruction set extensions," in CASES, 2003.Google ScholarGoogle Scholar
  21. {21} J. Sanghavi and A. Wang, "Estimation of speed, area, and power of parameterizable soft ip," in DAC, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. {22} A. Bona, M, Sami, D. Soluto, C. Silvano, V. Zaccaria, and R. Zafalon, "Energy estimation and optimization of embedded vliw processors based on instruction clustering," in DAC, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. {23} Y. Fei, S. Ravi, A. Raghunathan, and N. Jha, "Energy estimation for extensible processors," in DATE, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. {24} N. Cheung, J. Henkel, and S. Parameswaran, "Rapid configuration & instruction selection for an asip: A case study," in DATE, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. {25} P. Jha and N. Dutt, "Rapid estimation for parameterized components in high-level synthesis," in IEEE Tran. on VLSI, 1993.Google ScholarGoogle Scholar
  26. {26} "Design compiler." Synopsys, Inc. (http://www.synopsys.com).Google ScholarGoogle Scholar
  27. {27} "Powertheater." Sequence, Inc. (http ://www.sequencedesign.com).Google ScholarGoogle Scholar
  28. {28} "Modelsim," Model, Inc. (http://www.model.com).Google ScholarGoogle Scholar
  29. {29} C. Lee, M. Potkonjak, and W. H. Mangione-Smith, "Mediabench: A tool for evaluating and synthesizing multimedia and communications systems," in Int. Symp. on Microarchitecture, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    ICCAD '04: Proceedings of the 2004 IEEE/ACM International conference on Computer-aided design
    November 2004
    913 pages
    ISBN:0780387023

    Publisher

    IEEE Computer Society

    United States

    Publication History

    • Published: 7 November 2004

    Check for updates

    Qualifiers

    • Article

    Acceptance Rates

    Overall Acceptance Rate457of1,762submissions,26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader