skip to main content
10.1145/1118299.1118422acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
Article

Wire sizing with scattering effect for nanoscale interconnection

Published:24 January 2006Publication History

ABSTRACT

For nanoscale interconnection, the scattering effect will soon become prominent due to scaling. It will increase the effective resistivity and thus interconnection delay significantly. Existing works on scattering effect are mostly performed using very complicated physics-based models, while the scattering impact on nanoscale VLSI interconnect and optimization have not been studied. In this paper, we first present a simple, closed-form scattering effect resistivity model based on extensive empirical studies on measurement data. Then we apply the proposed scattering model to revisit several classic wire sizing/shaping problems. Our experimental results show that if the scattering effect is ignored or characterized inaccurately beyond 65nm, the resulting interconnect optimization might be way off from the real optimal solution, e.g., up to 70% underestimation of the delay, or 20x oversizing. We also obtain the new closed-form wiresizing functions with consideration of scattering effects.

References

  1. K. Fuchs, "The conductivity of thin metallic films according to the electron theory of metals," Proc. Cambridge Philosophical Society, 1938, pp. 100--108.Google ScholarGoogle Scholar
  2. E. H. Sondheimer, "The Mean Free Path of Electrons in Metals," Adv. Phys., vol. 1, pp. 1--42, 1952.Google ScholarGoogle ScholarCross RefCross Ref
  3. A. F. Mayadas and M. Shatzkes, "Electrical-Resistivity Model for Polycrystalline Films: the Case of Arbitrary Reflection at External Surfaces," Phys. Rev, vol. B 1, pp. 1382--1389, 1970.Google ScholarGoogle ScholarCross RefCross Ref
  4. Z. Tesanovic, M. V. Jaric, and S. Maekawa, "Quantum Transport and Surface Scattering," Phys. Rev. Lett., vol. 57, pp. 2760--2763, 1986.Google ScholarGoogle ScholarCross RefCross Ref
  5. R. Dannenberg and A. H. King, "Behavior of grain boundary resistivity in metals predicted by a two-dimensional model," Journal of Applied Physics, vol. 88, pp. 2623, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  6. J. Vancea, "Unconventional Features of Free Electrons in Polycrystalline Metal Films," International Journal of Modern Physics B, vol. 3, pp. 1455--1501, 1989.Google ScholarGoogle ScholarCross RefCross Ref
  7. J. Vancea, G. Reiss, and H. Hoffmann, "Mean-free-path concept in polycrystalline metals," Phys. Rev. B, vol. 35, pp. 6435--6437, 1987.Google ScholarGoogle ScholarCross RefCross Ref
  8. C. Durkan and M. E. Welland, "Size effects in the electrical resistivity of polycrystalline nanowires," Phys. Rev. B, vol. 61, pp. 14215--14218, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  9. S. M. Rossnagel and T. S. Kuan, "Alteration of Cu conductivity in the size effect regime," Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, vol. 22, pp. 240, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  10. W. Steinhoegl, G. Schindler, G. Steinlesberger, and M. Engelhardt, "Size-dependent resistivity of metallic wires in the mesoscopic range," Physical Review B (Condensed Matter and Materials Physics), vol. 66, pp. 075414, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  11. G. Steinlesberger, M. Engelhardt, G. Schindler, W. Steinhogl, A. v. Glasow, K. Mosig, and E. Bertagnolli, "Electrical assessment of copper damascene interconnects down to sub-50 nm feature sizes," Microelectron. Eng., vol. 64, pp. 409--416, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. W. Wen and K. Maex, "Studies on size effect of copper interconnect lines," Proc. International Conference on Solid-State and Integrated-Circuit Technology (ICSICT), 2001, pp. 416.Google ScholarGoogle Scholar
  13. C.-U. Kim, J. Park, N. Michael, P. Gillespie, and R. Augur, "Study of Electron-Scattering Mechanism in Nanoscale Cu Interconnects," Journal of Electronic Materials, vol. 32, pp. 982--987(6), 2003.Google ScholarGoogle ScholarCross RefCross Ref
  14. "International Technology Roadmap for Semiconductors (ITRS)," 2004.Google ScholarGoogle Scholar
  15. A. Naeemi, R. Sarvari, and J. D. Meindl, "Performance comparison between carbon nanotube and copper interconnects for gigascale integration (GSI)," Electron Device Letters, vol. 26, pp. 84, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  16. A. Raychowdhury and K. Roy, "A circuit model for carbon nanotube interconnects: comparative study with Cu interconnects for scaled technologies," Proc. ICCAD, 2004, pp. 237. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. Srivastava and K. Banerjee, "A Comparative Scaling Analysis of Metallic and Carbon Nanotube Interconnections for Nanometer Scale VLSI Technologies," Proc. the 21st International VLSI Multilevel Interconnect Conference (VMIC), Waikoloa, HI, 2004, pp. 393--398.Google ScholarGoogle Scholar
  18. P. Kapur, J. P. McVittie, and K. C. Saraswat, "Technology and reliability constrained future copper interconnects. I. Resistance modeling," TED, vol. 49, pp. 590, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  19. S. H. Brongersma, K. Vanstreels, W. Wu, W. Zhang, D. Ernur, J. D'Haen, V. Terzieva, M. Van Hove, T. Clarysse, L. Carbonell, W. Vandervorst, W. De Ceuninck, and K. Maex, "Copper grain growth in reduced dimensions," Proc. Interconnect Technology Conference, 2004, pp. 48--50.Google ScholarGoogle Scholar
  20. J. P. Fishburn and C. A. Schevon, "Shaping a distributed-RC line to minimize Elmore delay," IEEE Transactions on Circuits and Systems I: Fundamental Theory and Applications, vol. 42, pp. 1020, 1995.Google ScholarGoogle ScholarCross RefCross Ref
  21. C.-P. Chen, Y.-P. Chen, and D. F. Wong, "Optimal wire-sizing formula under the Elmore delay model," Proc. DAC, 1996, pp. 487. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. P. Fishburn, "Shaping a VLSI wire to minimize Elmore delay," Proc. European Design and Test Conference, 1997, pp. 244 -- 251. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. C.-P. Chen and D. F. Wong, "Optimal Wire-sizing Function With Fringing Capacitance Consideration," Proc. DAC, 1997, pp. 604. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Y. Gao and D. F. Wong, "Shaping a VLSI wire to minimize delay using transmission line model," Proc. ICCAD, 1998, pp. 611. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. J. J. Cong and K.-S. Leung, "Optimal wiresizing under Elmore delay model," TCAD, vol. 14, pp. 321, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. C. C. N. Chu and D. F. Wong, "An efficient and optimal algorithm for simultaneous buffer and wire sizing," TCAD, vol. 18, pp. 1297, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. J. Cong and Z. Pan, "Interconnect performance estimation models for design planning," TCAD, vol. 20, pp. 739, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. J. Cong and Z. Pan, "Wire width planning for interconnect performance optimization," TCAD, vol. 21, pp. 319, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. W. C. Elmore, "The Transient Response of Damped Linear Networks with Particular Regard to Wideband Amplifiers," Journal of Applied Physics, vol. 19, pp. 55, 1948.Google ScholarGoogle ScholarCross RefCross Ref
  30. J. Rubinstein, P. Penfield, and M. A. Horowitz, "Signal Delay in RC Tree Networks," TCAD, vol. 2, pp. 202, 1983.Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. S. Borkar, "Design challenges of technology scaling," Micro, vol. 19, pp. 23, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. L. Euler, Methodus inveniendi lineas curvas maximi minimive proprietate gaudentes sive solutio pro blematis isoperimetrici lattissimo sensu accepti. Lausanne, Geneva, 1744.Google ScholarGoogle Scholar

Index Terms

  1. Wire sizing with scattering effect for nanoscale interconnection

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            ASP-DAC '06: Proceedings of the 2006 Asia and South Pacific Design Automation Conference
            January 2006
            998 pages
            ISBN:0780394518

            Publisher

            IEEE Press

            Publication History

            • Published: 24 January 2006

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • Article

            Acceptance Rates

            Overall Acceptance Rate466of1,454submissions,32%

            Upcoming Conference

            ASPDAC '25

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader