skip to main content
article

Accurate and efficient regression modeling for microarchitectural performance and power prediction

Published:20 October 2006Publication History
Skip Abstract Section

Abstract

We propose regression modeling as an efficient approach for accurately predicting performance and power for various applications executing on any microprocessor configuration in a large microarchitectural design space. This paper addresses fundamental challenges in microarchitectural simulation cost by reducing the number of required simulations and using simulated results more effectively via statistical modeling and inference.Specifically, we derive and validate regression models for performance and power. Such models enable computationally efficient statistical inference, requiring the simulation of only 1 in 5 million points of a joint microarchitecture-application design space while achieving median error rates as low as 4.1 percent for performance and 4.3 percent for power. Although both models achieve similar accuracy, the sources of accuracy are strikingly different. We present optimizations for a baseline regression model to obtain (1) application-specific models to maximize accuracy in performance prediction and (2) regional power models leveraging only the most relevant samples from the microarchitectural design space to maximize accuracy in power prediction. Assessing sensitivity to the number of samples simulated for model formulation, we find fewer than 4,000 samples from a design space of approximately 22 billion points are sufficient. Collectively, our results suggest significant potential in accurate and efficient statistical inference for microarchitectural design space exploration via regression models.

References

  1. D. Brooks, P. Bose, V. Srinivasan, M. Gschwind, P.G. Emma, and M.G. Rosenfield. New methodology for early-stage, microarchitecture-level power-performance analysis of microprocessors. IBM Journal of Research and Development, 47(5/6), Oct/Nov 2003.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. L. Eeckhout, S. Nussbaum, J. Smith, and K. DeBosschere. Statistical simulation: Adding efficiency to the computer designer's toolbox. IEEE Micro, Sept/Oct 2003.]]Google ScholarGoogle Scholar
  3. E.Ipek, S.A.McKee, B. de Supinski, M. Schulz, and R. Caruana. Efficiently exploring architectural design spaces via predictive modeling. In ASPLOS-XII: Architectural support for programming languages and operating systems, October 2006.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. F. Harrell. Regression modeling strategies. Springer, New York, NY, 2001.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. V. Iyengar, L. Trevillyan, and P. Bose. Representative traces for processor models with infinite cache. In Symposium on High Performance Computer Architecture, February 1996.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. P. Joseph, K. Vaswani, and M.J. Thazhuthaveetil. Construction and use of linear regression models for processor performance analysis. In Symposium on High Performance Computer Architecture, Austin, Texas, February 2006.]]Google ScholarGoogle ScholarCross RefCross Ref
  7. T. Karkhanis and J. Smith. A first-order superscalar processor model. In International Symposium on Computer Architecture, June 2004.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. B. Lee and D. Brooks. Regression modeling strategies for microarchitectural performance and power prediction. Technical Report TR-08-06, Harvard University, March 2006.]]Google ScholarGoogle Scholar
  9. B. Lee and D. Brooks. Statistically rigorous regression modeling for the microprocessor design space. In ISCA-33: Workshop on Modeling, Benchmarking, and Simulation, June 2006.]]Google ScholarGoogle Scholar
  10. M. Moudgill, J. Wellman, and J. Moreno. Environment for powerpc microarchitecture exploration. IEEE Micro, 19(3):9--14, May/June 1999.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Nussbaum and J. Smith. Modeling superscalar processors via statistical simulation. In International Conference on Parallel Architectures and Compilation Techniques, Barcelona, Sept 2001.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In International Conference on Architectural Support for Programming Languages and Operating Systems, October 2002.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. C. Stone. Comment: Generalized additive models. Statistical Science, 1:312--314, 1986.]]Google ScholarGoogle ScholarCross RefCross Ref
  14. R.E.Wunderlich, T.F.Wenisch, B. Falsafi, and J.C. Hoe. SMARTS: Accelerating microarchitecture simulation via rigorous statistical sampling. In International Symposium on Computer Architecture, June 2003.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Yi, D. Lilja, and D. Hawkins. Improving computer architecture simulation methodology by adding statistical rigor. IEEE Computer, Nov 2005.]] Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Accurate and efficient regression modeling for microarchitectural performance and power prediction

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 34, Issue 5
        Proceedings of the 2006 ASPLOS Conference
        December 2006
        425 pages
        ISSN:0163-5964
        DOI:10.1145/1168919
        Issue’s Table of Contents
        • cover image ACM Conferences
          ASPLOS XII: Proceedings of the 12th international conference on Architectural support for programming languages and operating systems
          October 2006
          440 pages
          ISBN:1595934510
          DOI:10.1145/1168857

        Copyright © 2006 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 20 October 2006

        Check for updates

        Qualifiers

        • article

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader