ABSTRACT
No abstract available.
- The 'Last' Clock
Recommendations
Type-matching clock tree for zero skew clock gating
DAC '08: Proceedings of the 45th annual Design Automation ConferenceClock skew minimization is always very important in the clock tree synthesis. Due to clock gating, the clock tree may include different types of logic gates, e.g., AND gates, OR gates, and buffer gates. If the logic gates at the same level are in ...
Co-synthesis of data paths and clock control paths for minimum-period clock gating
DATE '13: Proceedings of the Conference on Design, Automation and Test in EuropeAlthough intentional clock skew can be utilized to reduce the clock period, its application in gated clock designs has not been well studied. A gated clock design includes both data paths and clock control paths, but conventional clock skew scheduling ...
Clock data compensation aware clock tree synthesis in digital circuits with adaptive clock generation
DATE '17: Proceedings of the Conference on Design, Automation & Test in EuropeAdaptive clock generation to track critical path delay enables lowering supply voltage with improved timing slack under supply noise. This paper presents how to synthesize clock tree in adaptive clocking to fully exploit the clock data compensation (CDC)...
Comments