skip to main content
10.1145/1233501.1233507acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization

Published: 05 November 2006 Publication History

Abstract

Parametric yield loss due to variability can be effectively reduced by both design-time optimization strategies and by adjusting circuit parameters to the realizations of variable parameters. The two levels of tuning operate within a single variability budget, and because their effectiveness depends on the magnitude and the spatial structure of variability their joint co-optimization is required. In this paper we develop a formal optimization algorithm for such co-optimization and link it to the control and measurement overhead via the formal notions of measurement and control complexity.
We describe an optimization strategy that unifies design-time gate-level sizing and post-silicon adaptation using adaptive body bias at the chip level. The statistical formulation utilizes adjustable robust linear programming to derive the optimal policy for assigning body bias once the uncertain variables, such as gate length and threshold voltage, are known. Computational tractability is achieved by restricting optimal body bias selection policy to be an affine function of uncertain variables. We demonstrate good run-time and show that 5-35% savings in leakage power across the benchmark circuits are possible. Dependence of results on measurement and control complexity is studied and points of diminishing returns for both metrics are identified.

References

[1]
Visweswariah C. et. al., "First-order incremental block-based statistical timing analysis," in Proc. DAC, 2004, pp. 331--336.
[2]
Hongliang C. and Sapatnekar S., "Statistical timing analysis considering spatial correlations using a single PERT-like traversal," in Proc. of ICCAD, 2003, pp. 621--625.
[3]
Devgan A. and Kashyap C., "Block-based static timing analysis with uncertainty," in Proc. of ICCAD, 2003, 9--13, pp. 604--614.
[4]
Patil D. et al., "A new method for design of robust digital circuits," in Proc. of ISQED, 2005, pp. 676--681.
[5]
Singh J. et al., "Robust gate sizing by geometric programming," in Proc. of DAC, 2005, pp. 315--320.
[6]
Srivastava A. et al., "Statistical optimization of leakage power considering process variations using dual-Vth and sizing," in Proc. of DAC, 2004, pp. 773--778.
[7]
Tschanz J. et al., "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," ISSCC Tech. Dig., pp. 422--423, 2002.
[8]
Narendra S. et al., "Impact of using adaptive body bias to compensate die-to-die Vt variation on within-die Vt variation", in Proc. of ISLPED, 1999, pp. 229--232.
[9]
Keshavarzi A. et al., "Effectiveness of reverse body bias for leakage control in scaled dual Vt CMOS ICs," in Proc. of ISLPED, 2001, pp. 207--212.
[10]
Martin S. et al., "Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads," in Proc. of ICCAD, 2002, pp. 721--725.
[11]
Chen T. et al., "Comparison of Adaptive Body Bias (ABB) and Adaptive Supply Voltage (ASV) for improving delay and leakage under the presence of process variation," IEEE Trans. VLSI on Systems, v. 11, no. 5, 2003, pp. 888--899.
[12]
Wang H. et al., "Variable tapered pareto buffer design and implementation allowing run-time configuration for lowpower embedded SRAMs," IEEE Trans. on VLSI, Vol. 13, No. 10, Oct. 2005.
[13]
Prekopa A., Stochastic Programming, Kluwer Academic, 1995.
[14]
Boyd S., Vandenberghe L., Convex Optimization, Cambridge, 2004.
[15]
Ben-Tal, A., Nemirovski, A. "Robust solutions to uncertain linear programs," OR Letters, 25, 1--13, 1999.
[16]
Ben-Tal A. et al., Adjustable robust solutions of uncertain linear programs, Mathematical Programming, Volume 99, Issue 2, Mar 2004, pp. 351--376.
[17]
Borkar S. et al., "Parameter variation and impact on circuits and microarchitecture," in Proc. of DAC, 2003, pp. 338--342.
[18]
Taur Y. and Ning H. T., Fundamentals of Modern VLSI Devices, Cambridge University Press, 1998.
[19]
Berkelaar M. and Jess J., "Gate sizing in MOS digital circuits with linear programming," in Proc. of DATE, 1990, pp. 217--221.
[20]
Rao R. et al., "Statistical analysis of subthreshold leakage current for VLSI circuits," IEEE Trans. on VLSI Systems, 12(2), pp. 131--139, February 2004.
[21]
Srivastava A. et al., "Accurate and efficient parametric yield estimation considering correlated variations in leakage power and performance," in Proc. of DAC, 2005, pp.535--540.
[22]
Azizi N. and Najm F. N. "Compensation for within-die variations in dynamic logic by using body-bias," in Proc. of NEWCAS, 2005, pp. 167--170.
[23]
Papoulis A., Probability Random Variables and Stochastic Processes, New York: McGraw-Hill, 1984.
[24]
Ben-Tal, A. Nemirovski, A., "Robust Convex Optimization," Math. Oper. Res., 23, 1998.
[25]
Mani M. and Orshansky M., "Application of fast SOCP based statistical sizing in the microprocessor design flow," in Proc. of GLS-VLSI, 2006, pp. 372--375.
[26]
Alizadeh F. and Goldfarb D., "Second-order cone programming", Technical Report RRR, Report number 51-2001, RUTCOR, Rutgers University.
[27]
http://www.mosek.com/documentation.html#manuals.
[28]
Cao Y. et al., "New paradigm of predictive MOSFET and interconnect modeling for early circuit design," Proc. of IEEE CICC, 2000, pp. 201--204.

Cited By

View all
  • (2022)Data-driven conditional robust optimizationProceedings of the 36th International Conference on Neural Information Processing Systems10.5555/3600270.3600962(9525-9537)Online publication date: 28-Nov-2022
  • (2017)A Floorplan Aware High-Level Synthesis Algorithm with Body Biasing for Delay Variation CompensationIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1587/transfun.E100.A.1439E100.A:7(1439-1451)Online publication date: 2017
  • (2014)Parametric yield optimization using leakage-yield-driven floorplanning2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)10.1109/PATMOS.2014.6951860(1-6)Online publication date: Sep-2014
  • Show More Cited By

Index Terms

  1. Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
    November 2006
    147 pages
    ISBN:1595933891
    DOI:10.1145/1233501
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 November 2006

    Permissions

    Request permissions for this article.

    Check for updates

    Qualifiers

    • Article

    Conference

    ICCAD06
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)5
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 07 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Data-driven conditional robust optimizationProceedings of the 36th International Conference on Neural Information Processing Systems10.5555/3600270.3600962(9525-9537)Online publication date: 28-Nov-2022
    • (2017)A Floorplan Aware High-Level Synthesis Algorithm with Body Biasing for Delay Variation CompensationIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1587/transfun.E100.A.1439E100.A:7(1439-1451)Online publication date: 2017
    • (2014)Parametric yield optimization using leakage-yield-driven floorplanning2014 24th International Workshop on Power and Timing Modeling, Optimization and Simulation (PATMOS)10.1109/PATMOS.2014.6951860(1-6)Online publication date: Sep-2014
    • (2013)Low-power resource binding by postsilicon customizationACM Transactions on Design Automation of Electronic Systems10.1145/2442087.244209718:2(1-22)Online publication date: 11-Apr-2013
    • (2012)System-level leakage variability mitigation for MPSoC platforms using body-bias islandsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.217151220:12(2289-2301)Online publication date: 1-Dec-2012
    • (2012)Body-Bias-Driven Design Strategy for Area- and Performance-Efficient CMOS CircuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2010.209197420:1(42-51)Online publication date: 1-Jan-2012
    • (2011)A Novel Low Area Overhead Direct Adaptive Body Bias (D-ABB) Circuit for Die-to-Die and Within-Die Variations CompensationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2010.206050319:10(1848-1860)Online publication date: 1-Oct-2011
    • (2011)Automating design of voltage interpolation to address process variationsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.203445719:3(383-396)Online publication date: 1-Mar-2011
    • (2011)Virtual ProbeIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2011.216453630:12(1814-1827)Online publication date: 1-Dec-2011
    • (2010)Active learning framework for post-silicon variation extraction and test cost reductionProceedings of the International Conference on Computer-Aided Design10.5555/2133429.2133538(508-515)Online publication date: 7-Nov-2010
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media