skip to main content
10.1145/1233501.1233546acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

A framework for statistical timing analysis using non-linear delay and slew models

Published: 05 November 2006 Publication History

Abstract

In this paper we propose a framework for Statistical Static Timing Analysis (SSTA) considering intra-die process variations. Given a cell library, we propose an accurate method to characterize the gate and interconnect delay as well as slew as a function of underlying parameter variations. Using these accurate delay models, we propose a method to perform SSTA based on a quadratic delay and slew model. The method is based on efficient dimensionality reduction technique used for accurate computation of the max of two delay expansions. Our results indicate less than 4% error in the variance of the delay models compared to SPICE Monte Carlo and less than 1% error in the variance of the circuit delay compared to Monte Carlo simulations.

References

[1]
http://vlsicad.eecs.umich.edu/bk/pdtools/.
[2]
A. Agarwal, D. Blaauw, and V. Zolotov. Statistical timing analysis for intra-die process variations with spatial correlations. In Proc. of ICCAD, 2003.
[3]
V. Barthelmann, E. Novak, and K. Ritter. High dimensional polynomial interpolation on sparse grids. Advances in Comp. Math., pages 273--288, 2000.
[4]
S. Bhardwaj, S. Vrudhula, P. Ghanta, and Y. Cao. Modeling of intra-die process variations for accurate analysis and optimization of nano-scale circuits. In Proc. of IEEE/ACM Design Automation Conference, 2006.
[5]
H. Chang and S. Sapatnekar. Statistical timing analysis considering spatial correlations using a single pert-like traversal. In Proc. of ICCAD, 2003.
[6]
R. G. Ghanem and P. Spanos. Stochastic Finite Elements: A Spectral Approach. Springer-Verlag, 1991.
[7]
A. Keese and H. G. Matthies. Numerical methods and smolyak quadrature for nonlinear stochastic partial differential equations. Technical report, Institute of Scientific Computing, Brunswick, 2003.
[8]
M. Orshansky and K. Kuetzer. A General Probabilistic Framework for Worst Case Timing Analysis. In Proc. of DAC, 2002.
[9]
A. Papoulis. Probability, Random Variables and Stochastic Processes. McGraw-Hill, 3rd edition, 1991.
[10]
R. Rao, A. Devgan, D. Blaauw, and D. Sylvester. Parametric yield estimation considering leakage variability. In Proc. of DAC, 2004.
[11]
J. Singh and S. Sapatnekar. Statistical Timing Analysis with Correlated Non-Gaussian Parameters using Independent Component Analysis. In IEEE TAU Workshop, February 2006.
[12]
C. Visweswariah et al. First-order incremental Block-Based Statistical Timing Analysis. In IEEE/ACM Design Automation Conference, pages 331--336, 2004.
[13]
J. Wang, P. Ghanta, and S. Vrudhula. Stochastic Analysis of Interconnect Performance in the Presence of Process Variations. In Proc. of ICCAD, 2004.
[14]
Y. Zhan et al. Correlation-aware statistical timing analysis with non-gaussian delay distributions. In Proc. of ICCAD, Nov 2005.
[15]
L. Zhang, J. Shao, and C. C.-P. Chen. Non-Gaussian Statistical Parameter Modeling for SSTA with Confidence Interval Analysis. In International Symposium on Physical Design, 2006.
[16]
L. Zhang et al. Correlation-Preserved Non-Gaussian Statistical Timing Analysis with Quadratic Timing Model. In Proc. of DAC, 2005.

Cited By

View all
  • (2016)Statistical path tracing in timing graphsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898096(1-6)Online publication date: 5-Jun-2016
  • (2012)Reversible statistical max/min operationProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228554(1067-1073)Online publication date: 3-Jun-2012
  • (2012)Timing analysis with nonseparable statistical and deterministic variationsProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228553(1061-1066)Online publication date: 3-Jun-2012
  • Show More Cited By

Index Terms

  1. A framework for statistical timing analysis using non-linear delay and slew models

        Recommendations

        Comments

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
        November 2006
        147 pages
        ISBN:1595933891
        DOI:10.1145/1233501
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 05 November 2006

        Permissions

        Request permissions for this article.

        Check for updates

        Qualifiers

        • Article

        Conference

        ICCAD06
        Sponsor:

        Acceptance Rates

        Overall Acceptance Rate 457 of 1,762 submissions, 26%

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)7
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 07 Mar 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2016)Statistical path tracing in timing graphsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898096(1-6)Online publication date: 5-Jun-2016
        • (2012)Reversible statistical max/min operationProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228554(1067-1073)Online publication date: 3-Jun-2012
        • (2012)Timing analysis with nonseparable statistical and deterministic variationsProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228553(1061-1066)Online publication date: 3-Jun-2012
        • (2012)Fourier series approximation for max operation in non-Gaussian and quadratic statistical static timing analysisIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.215784320:8(1383-1391)Online publication date: 1-Aug-2012
        • (2012)Statistical delay modelling of manufacturing process variations at system level10th IEEE International NEWCAS Conference10.1109/NEWCAS.2012.6328974(133-136)Online publication date: Jun-2012
        • (2011)Fast Statistical Static Timing Analysis Using Smart Monte Carlo TechniquesIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2011.210803030:6(852-865)Online publication date: 1-Jun-2011
        • (2010)Timing modeling for digital sub-threshold circuitsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1870999(299-302)Online publication date: 8-Mar-2010
        • (2010)Timing modeling for digital sub-threshold circuits2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)10.1109/DATE.2010.5457192(299-302)Online publication date: Mar-2010
        • (2009)Accounting for non-linear dependence using function driven component analysisProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509747(474-479)Online publication date: 19-Jan-2009
        • (2009)Binning optimization based on SSTA for transparently-latched circuitsProceedings of the 2009 International Conference on Computer-Aided Design10.1145/1687399.1687462(328-335)Online publication date: 2-Nov-2009
        • Show More Cited By

        View Options

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media