skip to main content
10.1145/1233501.1233547acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

An accurate sparse matrix based framework for statistical static timing analysis

Published:05 November 2006Publication History

ABSTRACT

Statistical Static Timing Analysis has received wide attention recently and emerged as a viable technique for manufacturability analysis. To be useful, however, it is important that the error introduced in SSTA be significantly smaller than the manufacturing variations being modeled. Achieving such accuracy requires careful attention to the delay models and to the algorithms applied. In this paper, we propose a new sparse-matrix based framework for accurate path-based SSTA, motivated by the observation that the number of timing paths in practice is sub-quadratic based on a study of industrial circuits and the ISCAS89 benchmarks. Our sparse-matrix based formulation has the following advantages: (a) It places no restrictions on process parameter distributions; (b) It embeds accurate polynomial-based delay model which takes into account slope propagation naturally; (c) It takes advantage of the matrix sparsity and high performance linear algebra for efficient implementation. Our experimental results are very promising.

References

  1. Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstic. Fast statistical timing analysis by probabilistic event propagation. In DAC '01: Proceedings of the 38th conference on Design automation, pages 661--666, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Aseem Agarwal, David Blaauw, Vladimir Zolotov, and Sarma Vrudhula. Computation and refinement of statistical bounds on circuit delay. In DAC '03: Proceedings of the 40th conference on Design automation, pages 348--353, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Anirudh Devgan and Chandramouli Kashyap. Block-based static timing analysis with uncertainty. In ICCAD '03: Proceedings of the 2003 IEEE/ACM international conference on Computer-aided design, pages 607--614, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Hongliang Chang and Sachin S. Sapatnekar. Statistical timing analysis under spatial correlations. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 24(9):1467--1482, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Visweswariah, K. Ravindran, K. Kalafala, S. G. Walker, and S. Narayan. First-order incremental block-based statistical timing analysis. In DAC '04: Proceedings of the 41st annual conference on Design automation, pages 331--336, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Jiayong Le, Xin Li, and Lawrence T. Pileggi. STAC: statistical timing analysis with correlation. In DAC '04: Proceedings of the 41st annual conference on Design automation, pages 343--348, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Anne E. Gattiker, Sani R. Nassif, Rashmi Dinakar, and Chris Long. Timing yield estimation from static timing analysis. In ISQED '01: 2nd International Symposium on Quality of Electronic Design, pages 437--442, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Jing-Jia Liou, Angela Krstic, Li-C. Wang, and Kwang-Ting Cheng. False-path-aware statistical timing analysis and efficient path selection for delay testing and timing validation. In DAC '02: Proceedings of the 39th conference on Design automation, pages 566--569, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Aseem Agarwal, David Blaauw, Vladimir Zolotov, Savithiri Sundareswaran, Min Zhao, Kaushik Gala, and Rajendran Panda. Path-based statistical timing analysis considering inter and intra-die correlations. In ACM/IEEE International Workshop on Timing Issues, 2002.Google ScholarGoogle Scholar
  10. J. A. G. Jess, K. Kalafala, S. R. Naidu, R. H. J. M. Otten, and C. Visweswariah. Statistical timing for parametric yield prediction of digital integrated circuits. In DAC '03: Proceedings of the 40th conference on Design automation, pages 932--937, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Michael Orshansky and Arnab Bandyopadhyay. Fast statistical timing analysis handling arbitrary delay correlations. In DAC '04: Proceedings of the 41st annual conference on Design automation, pages 337--342, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Yaping Zhan, Andrzej J. Strojwas, Xin Li, Lawrence T. Pileggi, David Newmark, and Mahesh Sharma. Correlation-aware statistical timing analysis with non-gaussian delay distributions. In DAC '05: Proceedings of the 42nd annual conference on Design automation, pages 77--82, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Lizheng Zhang, Weijen Chen, Yuhen Hu, John A. Gubner, and Charlie Chung-Ping Chen. Correlation-preserved non-gaussian statistical timing analysis with quadratic timing model. In DAC '05: Proceedings of the 42nd annual conference on Design automation, pages 83--88, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Vishal Khandelwal and Ankur Srivastava. A general framework for accurate statistical timing analysis considering correlations. In DAC '05: Proceedings of the 42nd annual conference on Design automation, pages 89--94, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Hongliang Chang, Vladimir Zolotov, Sambasivan Narayan, and Chandu Visweswariah. Parameterized block-based statistical timing analysis with non-gaussian parameters, nonlinear delay functions. In DAC '05: Proceedings of the 42nd annual conference on Design automation, pages 71--76, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Khaled R. Heloue and Farid N. Najm. Statistical timing analysis with two-sided constraints. In ICCAD '05: Proceedings of the 2005 IEEE/ACM international conference on Computer-aided design, pages 829--836, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Debjit Sinha and Hai Zhou. A unified framework for statistical timing analysis with coupling and multiple input switching. In ICCAD '05: Proceedings of the 2005 IEEE/ACM international conference on Computer-aided design, pages 837--843, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Jaskirat Singh and Sachin S. Sapatnekar. Statistical timing analysis with correlated non-gaussian parameters using independent component analysis. In ACM/IEEE International Workshop on Timing Issues, 2006.Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. David Blaauw, Vladimir Zolotov, and Savithri Sundareswaran. Slope propagation in static timing analysis. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(10):1180--1192, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Franc Brglez, David Bryan, and Krzysztof Koźmiński. Combinational profiles of sequential benchmark circuits. In Proc. of ISCAS, pages 1929--1934, 1989.Google ScholarGoogle ScholarCross RefCross Ref
  21. Yousef Saad. Iterative Methods for Sparse Linear Systems. Society for Industrial and Applied Mathematics, Philadelphia, PA, USA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Yu Cao, Takashi Sato, Michael Orshansky, Dennis Sylvester, and Chenming Hu. New paradigm of predictive MOSFET and interconnect modeling for early circuit simulation. In Proceedings of Custom Integrated Circuits Conference, pages 201--204, 2000.Google ScholarGoogle Scholar
  23. Maogang Wang, Xiaojian Yang, and Majid Sarrafzadeh. Dragon2000: standard-cell placement tool for large industry circuits. In ICCAD '00: Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design, pages 260--263, 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. An accurate sparse matrix based framework for statistical static timing analysis

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
              November 2006
              147 pages
              ISBN:1595933891
              DOI:10.1145/1233501

              Copyright © 2006 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 5 November 2006

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • Article

              Acceptance Rates

              Overall Acceptance Rate457of1,762submissions,26%

              Upcoming Conference

              ICCAD '24
              IEEE/ACM International Conference on Computer-Aided Design
              October 27 - 31, 2024
              New York , NY , USA

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader