skip to main content
10.1145/1233501.1233612acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

Physical aware frequency selection for dynamic thermal management in multi-core systems

Published: 05 November 2006 Publication History

Abstract

In order to maintain performance per Watt in microprocessors, there is a shift towards the chip level multiprocessing paradigm. Microprocessor manufacturers are experimenting with tens of cores, forecasting the arrival of hundreds of cores per single processor die in the near future. With such large-scale integration and increasing power densities, thermal management continues to be a significant design effort to maintain performance and reliability in modern process technologies. In this paper, we present two mechanisms to perform frequency scaling as part of Dynamic Frequency and Voltage Scaling (DVFS) to assist Dynamic Thermal Management (DTM). Our frequency selection algorithms incorporate the physical interaction of the cores on a large-scale system onto the emergency intervention mechanisms for temperature reduction of the hotspot, while aiming to minimize the performance impact of frequency scaling on the core that is in thermal emergency. Our results show that our algorithm consistently succeeds in maximizing the operating frequency of the most critical core while successfully relieving the thermal emergency of the core. A comparison of our two alternative techniques reveals that our physical aware criticality-based algorithm results in 11.7% faster clock frequencies compared to our aggressive scaling algorithm. We also show that our technique is extremely fast and is suited for real time thermal management

References

[1]
Mahajan, R. Thermal management of CPUs: A perspective on trends, needs and opportunities. in Keynote presentation, THERMINIC-8. 2002.
[2]
Borkar, S., Design Challenges of Technology Scaling. IEEE Micro, July-August 1999. 19(4): p. 23--29.
[3]
Skadron, K., et al. Temperature-Aware Microarchitecture. in International Symposium on Computer Architecture. 2003.
[4]
Rotem, E., et al. Analysis of Thermal Monitor features of the Intel® Pentium® M Processor. in Workshop on Temperature-aware Computer Systems. 2004.
[5]
Sanchez, H., et al. Thermal Management System for High Performance PowerPC Microprocessors. in IEEE Computer Society International Conference. 1997.
[6]
Clabes, J., et al. Design and Implementation of the POWER5 Microprocessor. in Design Automation Conference. 2004.
[7]
Powell, M. D., et al. Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the Operating System. in International Conference on Architectural Support for Programming Languages and Operating Systems. 2004.
[8]
Huang, W., et al. A Framework for Dynamic Energy Efficiency and Temperature Management. in International Symposium on Microarchitecture. 2000.
[9]
Li, Y., et al. Evaluating the Thermal Efficiency of SMT and CMP Architectures. in IBM Watson Conference on Interaction between Architecture, Circuits, and Compilers. 2004.
[10]
Donald, J. and M. Martonosi. Temperature-Aware Design Issues for SMT and CMP Architectures. in Workshop on Complexity-Effective Design 2004.
[11]
Brooks, D. and M. Martonosi. Dynamic Thermal Management for High-Performance Microprocessors. in International Symposium on High-Performance Computer Architecture. 2001.
[12]
Klaiber, A. The Technology Behind Crusoe Processors. Whitepaper. 2000
[13]
Skadron, K., et al., Temperature-Aware Microarchitecture: Extended Discussion and Results, in University of Virginia Dept. of Computer Science Technical Report CS-2003-08. April 2003.
[14]
Kumar, R., et al. Interconnections in Multi-Core Architectures: Understanding Mechanisms, Overheads and Scaling. in International Symposium on Computer Architecture. 2005.
[15]
Hofstee, H. P. Power Efficient Processor Architecture and The Cell Processor. in Symposium on High Performance Computer Architecture. 2005.
[16]
Borkar, S., et al. Platform 2015: Intel Processor and Platform Evolution for the Next Decade. Whitepaper 2005
[17]
Pham, D., et al. The Design and Implementation of a First Generation Cell Processor. in International Solid-State Circuits Conference. 2005.
[18]
Rattner, J. R. Keynote at the Intel Developer Conference 2005
[19]
Juang, P., et al. Coordinated, Distributed, Formal Energy Management of Chip Multiprocessors. in International Symposium on Low Power Electronics and Systems 2005.
[20]
Isci, C. and M. Martonosi. Runtime Power Monitoring in High-End Processors: Methodology and Empirical Data in International Symposium on Microarchitecture. 2003.
[21]
Skadron, K., et al. Control-Theoretic Techniques and Thermal-RC Modeling for Accurate and Localized Dynamic Thermal Management. in International Symposium on High-Performance Computer Architecture. 2002.

Cited By

View all
  • (2017)CAnDy-TMProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130683(1289-1292)Online publication date: 27-Mar-2017
  • (2014)Maximizing energy saving of dual-architecture processors using DVFSThe Journal of Supercomputing10.1007/s11227-014-1147-468:3(1163-1183)Online publication date: 1-Jun-2014
  • (2013)Modeling the effects of DFS on power consumption in hybrid chip multiprocessorsProceedings of the 1st International Workshop on Energy Efficient Supercomputing10.1145/2536430.2536436(1-8)Online publication date: 17-Nov-2013
  • Show More Cited By

Index Terms

  1. Physical aware frequency selection for dynamic thermal management in multi-core systems

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
    November 2006
    147 pages
    ISBN:1595933891
    DOI:10.1145/1233501
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 November 2006

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. dynamic thermal management
    2. multi-core system

    Qualifiers

    • Article

    Conference

    ICCAD06
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 07 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2017)CAnDy-TMProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130683(1289-1292)Online publication date: 27-Mar-2017
    • (2014)Maximizing energy saving of dual-architecture processors using DVFSThe Journal of Supercomputing10.1007/s11227-014-1147-468:3(1163-1183)Online publication date: 1-Jun-2014
    • (2013)Modeling the effects of DFS on power consumption in hybrid chip multiprocessorsProceedings of the 1st International Workshop on Energy Efficient Supercomputing10.1145/2536430.2536436(1-8)Online publication date: 17-Nov-2013
    • (2013)Cooperative boostingACM SIGARCH Computer Architecture News10.1145/2508148.248594741:3(285-296)Online publication date: 23-Jun-2013
    • (2013)Dynamic power management for multidomain system-on-chip platformsACM Transactions on Design Automation of Electronic Systems10.1145/250490418:4(1-20)Online publication date: 25-Oct-2013
    • (2013)Cooperative boostingProceedings of the 40th Annual International Symposium on Computer Architecture10.1145/2485922.2485947(285-296)Online publication date: 23-Jun-2013
    • (2013)Thermal prediction and adaptive control through workload phase detectionACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239019818:1(1-19)Online publication date: 16-Jan-2013
    • (2013)Online thermal control methods for multiprocessor systemsACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239019718:1(1-26)Online publication date: 16-Jan-2013
    • (2012)Recent thermal management techniques for microprocessorsACM Computing Surveys10.1145/2187671.218767544:3(1-42)Online publication date: 14-Jun-2012
    • (2011)Convex-based thermal management for 3D MPSoCs using DVFS and variable-flow liquid coolingProceedings of the 21st international conference on Integrated circuit and system design: power and timing modeling, optimization, and simulation10.5555/2045364.2045398(341-350)Online publication date: 26-Sep-2011
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media