Abstract
With the scaling of technology, leakage energy will become the dominant source of energy consumption. Besides cache memories, branch predictors are among the largest on-chip array structures and consume nontrivial leakage energy. This paper proposes two cost-effective loop-based strategies to reduce the branch predictor leakage without impacting prediction accuracy or performance. The loop-based approaches exploit the fact that loops usually only contain a small number of instructions and, hence, even fewer branch instructions while taking a significant fraction of the execution time. Consequently, all the nonactive entries of branch predictors can be placed into the low leakage mode during the loop execution in order to reduce leakage energy. Compiler and circuit supports are discussed to implement the proposed leakage-reduction strategies. Compared to the recently proposed decay-based approach, our experimental results show that the loop-based approach can extract 16.2% more dead time of the branch predictor, on average, leading to more leakage energy savings without impacting the branch prediction accuracy and performance.
- Chang, P. Y., Patt, E. H., and Patt, Y. N. 1995. Alternative implementations of hybrid branch predictors. In Proceedings of the 28th Annual International Symposium on Microarchitecture. Google ScholarDigital Library
- Chaver, D., Huang, L. P., and Huang, M. C. 2003. Branch prediction on demand: an energy-efficient solution. In Proceedings of ISLPED. Google ScholarDigital Library
- Evers, M., Patt, P. Y. C., and Patt, Y. N. 1996. Using hybrid branch predictors to improve branch prediction accuracy in presence of context switches. In Proceedings of the 23rd International Symposium on Computer Architecture. Google ScholarDigital Library
- Flautner, K., Kim, N. S. et al. 2002. Drowsy caches: simple techniques for reducing leakage power. In Proceedings of the International Symposium on Computer Architecture. Google ScholarDigital Library
- Heo, S., Barr, K., Asanovic, M. H., and Asanovic, K. 2002. Dynamic fine-grain leakage reduction using leakage-biased bitlines. In Proc. of ISCA. Google ScholarDigital Library
- Hoogerbrugger, J. 2000. Dynamic branch prediction for a vliw processor. In Proc. of IEEE PACT. Google ScholarDigital Library
- Hu, Z., Juang, P., Martonosi, K. S. D. C., and Martonosi, M. 2002. Applying decay strategies to branch predictors for leakage energy savings. In Proc. of ICCD. Google ScholarDigital Library
- Intel Xscale Microarchitecture Technical Summary. 2001. In Intel Technical Report.Google Scholar
- Jimenez, D. A., Lin, S. W. K., and Lin, C. 2000. The impact of delay on the design of branch predictors. In Proceedings of the 33th Annual International Symposium on Microarchitecture. Google ScholarDigital Library
- Kaxiras, S., Hu, Z., et al. 2001. Cache decay: Exploiting generational behavior to reduce cache leakage power. In Proc. of ISCA. Google ScholarDigital Library
- Kim, N. S., Flautner, K., et al. 2002. Drowsy instruction caches. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. Google ScholarDigital Library
- Lee, C., Potkonjak, M., and Mangione-Smith, W. H. 1997. Mediabench: A tool for evaluating and synthesizing multimedia and communications systems. In Proc. the International Symposium on Microarchitecture. Google ScholarDigital Library
- McFarling, S. 1993. Combining branch predictors. In Technical Note TN-36, DEC WRL.Google Scholar
- Parikh, D., Skadron, K., Stan, Y. Z. M. B., and Stan, M. R. 2002. Power issues related to branch prediction. In Proceedings of the 8th International Symposium on High-Performance Computer Architecture. Google ScholarDigital Library
- Powell, M. D., Yang, S., Vijaykumar, B. F. K. R., and Vijaykumar, T. N. 2001. Reducing leakage in a high-performance deep-submicron instruction cache. In IEEE Transactions on VLSI, Vol. 9, No. 1. Google ScholarDigital Library
- Seznec, A., Felix, S., Sazeides, V. K., and Sazeides, Y. 2002. Design tradeoffs for the alpha ev8 conditional branch predictor. In Proceedings of the 29th International Symposium on Computer Architecture. Google ScholarDigital Library
- Sherwood, T., Perelman, E., Calder, G. H. S. S., and Calder, B. 2003. Discovering and exploiting program phases. In IEEE Micro. Google ScholarDigital Library
- Skadron, K., Stan, T. A., and Stan, M. R. 2002. Control-theoretic techniques and thermal-rc modeling for accurate and localized dynamic thermal management. In Proceedings of HPCA-8. Google ScholarDigital Library
- Smith, J. E. 1981. A study of branch prediction strategies. In Proceedings of the International Symposium on Computer Architecture. Google ScholarDigital Library
- Spec Homepage. In http://www.spec.org.Google Scholar
- Trimaran Homepage. In http://www.trimaran.org.Google Scholar
- Ye, Y., De, S. B., and De, V. 1998. A new technique for standby leakage reduction in high-performance circuits. In Proc. of the Symposium on VLSI Circuits.Google Scholar
- Zhang, W., Hu, J. S., et al. 2002. Compiler-directed instruction cache leakage optimization. In Proceedings of the 35th Annual ACM/IEEE International Symposium on Microarchitecture. Google ScholarDigital Library
- Zhou, H., Toburen, M. C., Conte, E. R., and Conte, T. M. 2001. Adaptive mode control: a static power-efficient cache design. In Proc. of PACT. Google ScholarDigital Library
Index Terms
- Reducing branch predictor leakage energy by exploiting loops
Recommendations
Loop-based leakage control for branch predictors
CASES '04: Proceedings of the 2004 international conference on Compilers, architecture, and synthesis for embedded systemsLeakage energy consumption is becoming an important design consideration with the scaling of technology. Besides caches, branch predictors are among the largest on-chip array structures and consume non-trivial leakage energy. This paper proposes two ...
Compiler-guided next sub-bank prediction for reducing instruction cache leakage energy
Embeded Processors and Systems: Architectural Issues and Solutions for Emerging ApplicationsWith the scaling of technology, leakage energy reduction has become increasingly important, especially for cache memories. Recent studies in drowsy instruction cache show that the leakage energy of the instruction cache can be significantly reduced with ...
Reducing dynamic and leakage energy in VLIW architectures
The mobile computing device market has been growing rapidly. This brings the technologies that optimize system energy to the forefront. As circuits continue to scale in the future, it would be important to optimize both leakage and dynamic energy. ...
Comments