skip to main content
10.1145/1278480.1278559acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
Article

Design and analysis of hybrid NEMS-CMOS circuits for ultra low-power applications

Published:04 June 2007Publication History

ABSTRACT

Integration of nano-electro-mechanical switches (NEMS) with CMOS technology has been proposed to exploit both near zero-leakage characteristics of NEMS devices along with high ON current of CMOS transistors. The feasibility of integration of NEMS switches into a CMOS process is illustrated by a practical process flow. Moreover, co-design of hybrid NEMS-CMOS as low power dynamic OR gates, SRAM cells, and sleep transistors is explored. Simulation results indicate that such hybrid dynamic OR gates can achieve 60--80% lower switching power and almost zero leakage power consumption with minor delay penalty. However, the hybrid gate outperforms its CMOS counterpart both in terms of delay and switching power consumption with increase in fan-in beyond 12. Additionally, it is shown that the proposed hybrid SRAM cell can achieve almost 8X lower standby leakage power consumption with only minor noise margin and latency cost. Finally, application of NEMS devices as sleep transistors results in upto three orders of magnitude lower OFF current with negligible performance degradation as compared to CMOS sleep switches.

References

  1. G. E. Moore, "Cramming more components onto integrated circuits," Electronics, Vol. 38, pp. 114--117, 1965.Google ScholarGoogle Scholar
  2. V. De and S. Borkar, "Technology and design challenges for low power and high performance microprocessors," Proc. ISLPED, 1999, pp. 163--168. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Borkar, T. Kamik and V. De, "Design and reliability challenges in nanometer technologies," DAC, 2004, pp. 75. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. International Technology Roadmap for Semiconductors (ITRS), http://public.itrs.net.Google ScholarGoogle Scholar
  5. K. Banerjee, S-C. Lin, A. Keshavarzi, S. Narendra and V. De, "A self-consistent junction temperature estimation methodology for nanometer scale 1Cs with implications for performance and thermal management," Tech. Digest, IEDM, 2003, pp. 887--890.Google ScholarGoogle ScholarCross RefCross Ref
  6. Y. Taur and T. Ning, Fundamentals of Modern VLSI Devices, Cambridge University Press, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Appenzeller, Y. M. Lin, J. Knoch, and Ph. Avouris, "Band-to-Band Tunneling in Carbon Nanotube Field-Effect Transistors", Phys. Rev. Letter, Vol. 93, 196805, 2004.Google ScholarGoogle ScholarCross RefCross Ref
  8. J. Appenzeller, L. Yu-Ming, J. Knoch, C. Zhihong, and P. Avouris, "Comparing carbon nanotube transistors - the ideal choice: a novel tunneling device design", IEEE Transactions on Electron Devices, Vol. 52, pp. 2568--2576, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  9. D. J. Wouters, J. P. Colnge, and H. E. Maes, "Subthreshold slope in thin-film SOI MOSFETs," IEEE Trans. Electron Devices, Vol. 37, pp. 2022--2033, 1990.Google ScholarGoogle ScholarCross RefCross Ref
  10. H. C. Lin, M. H. Lee, C. J. Su, and S. W. Shen, "Fabrication and Characterization of Nanowire Transistors With Solid-Phase Crystallized Poly-Si Channels", IEEE Trans. Electron Devices, Vol. 53, pp. 2471--2477, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  11. K. Gopalakrishnan, P. B. Griffin and J. D. Plummer, "I-MOS: A Novel Semiconductor Device with a Subthreshold Slope Lower than kT/q," Tech. Digest, IEDM 2002, pp. 289--292.Google ScholarGoogle ScholarCross RefCross Ref
  12. N. Abele et al., "Suspended-gate MOSFET: bringing new MEMS functionality into solid-state MOS transistor," IEDM Tech. Digest. 2005, pp. 479--481.Google ScholarGoogle Scholar
  13. H. Kam et al., "A new nano-electro-mechanical field effect transistor (NEMFET) design for low-power electronics," IEDM Tech. Digest, 2005, pp. 463--466.Google ScholarGoogle Scholar
  14. http://www-device.eecs.berkeley.edu/-ptm/mosfet.html.Google ScholarGoogle Scholar
  15. A. M. Ionescu, V. Pott, R. Fritschi, K. Banerjee, M. J. Declercq, Ph. Renaud, C. Hibert, Ph. Fluckiger and G-A. Racine, "Modeling and Design of a Low-Voltage SOI Suspended-Gate MOSFET (SG-MOSFET) with a Metal-Over-Gate-Architecture," IEEE International Symposium on Quality Electronic Design, 2002, pp. 496--501. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. J. M. Kinaret, T. Nord and S. Viefers, "A carbon nanotube based nanorelay," Applied Physics Letters, Vol. 82, pp. 1287--1289, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  17. T. Rueckes, K. Kim, E. Joselevich, G. Y. Tseng, C. L. Cheung, and C. M. Lieber, "Carbon Nanotube-Based Nonvolatile Random Access Memory for Molecular Computing", Science, Vol. 289, pp. 94--97, 2000.Google ScholarGoogle ScholarCross RefCross Ref
  18. M. Dequesnes, S. V. Rotkin, N. R. Aluru, "Calculation of pull-in voltages for carbon nanotube-based nanoelectromechanical switches", Nanotechnology, Vol. 13, pp. 120--131, 2002.Google ScholarGoogle ScholarCross RefCross Ref
  19. H. Takeuchi et al., "Thermal budget limits of quarter-micrometer foundry CMOS for post-processing MEMS devices," IEEE Trans. on Electron Devices, Vol. 52, no.9, pp. 2081--2086, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  20. K. L. Ekinci and M. L. Roukes, "Nanoelectromechanical systems," Review of Scientific Instruments, 76, 2005.Google ScholarGoogle Scholar
  21. J. E. Jang et al., "Nanoelectromechanical switches with vertically aligned carbon nanotubes," Applied Physics Letters, 87, 163114, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  22. N. Abele et al., "Ultra-Low Voltage MEMS Resonator Based on RSG-MOSFET," 19th IEEE International Conference on MEMS, 2006, pp. 882--885.Google ScholarGoogle Scholar
  23. V. Pott, A. Ionescu, R. Fritschi, C. Hibert, P. Fluckiger, G. Racine, M. Declercq, P. Renaud, A. Rusu, D. Dobrescu, and L. Dobrescu, "The suspended-gate MOSFET (SG-MOSFET): A modeling outlook for the design of RF MEMS switches and tunable capacitors," International Semiconductor Conference, 2001, pp. 137--140.Google ScholarGoogle Scholar
  24. H. F. Dadgour, R. V. Joshi and K. Banerjee, "A Novel Variation-Aware Low-Power Keeper Architecture for Wide Fan-in Dynamic Gates," DAC 2006, pp. 991--996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S. Borkar, M. Stan, and V. De, "Dual Vt-SRAM cells with full-swing single-ended bit line sensing for high-performance on-chip cache in 0.13μm technology generation," Proc. IEEE International Symposium on Low Power Electronics and Design, 2000, pp. 15--19. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. N. Azizi, F. N. Najm and A. Moshovos, "Low-leakage asymmetric-cell SRAM", IEEE Trans, on Very Large Scale Integration Systems, Vol. 11, pp. 701--715, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. S. Kaijian and D. Howard, "Challenges in sleep transistor design and implementation in low-power designs," DAC 2006, pp. 113--116. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Design and analysis of hybrid NEMS-CMOS circuits for ultra low-power applications

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      DAC '07: Proceedings of the 44th annual Design Automation Conference
      June 2007
      1016 pages
      ISBN:9781595936271
      DOI:10.1145/1278480

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 4 June 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      DAC '07 Paper Acceptance Rate152of659submissions,23%Overall Acceptance Rate1,770of5,499submissions,32%

      Upcoming Conference

      DAC '24
      61st ACM/IEEE Design Automation Conference
      June 23 - 27, 2024
      San Francisco , CA , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader