skip to main content
10.1145/1283780.1283818acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
Article

A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM

Published:27 August 2007Publication History

ABSTRACT

We propose a novel Schmitt Trigger (ST) based fully differential 10 transistor SRAM (Static Random Access Memory) bitcell suitable for sub-threshold operation. The proposed Schmitt trigger based bitcell achieves 1.56X higher read static noise margin (SNM) (VDD = 400mV) compared to the conventional 6T cell. The robust Schmitt trigger based memory cell exhibits built in process variation tolerance that gives tight SNM distribution across the process corners. It utilizes fully differential operation and hence does not require any architectural changes from the present 6T architecture. At iso-area and iso-read-failure probability the proposed memory bitcell operates at a lower (175mV) VDD with 18% reduction in leakage and 50% reduction in read/write power compared to the conventional 6T cell. Simulation results show that the proposed memory bitcell retains data at a supply voltage of 150mV. Functional SRAM with the proposed memory bitcell is demonstrated at 160mV in 0.13μm CMOS technology.

References

  1. H. Soeleman and K. Roy; "Ultra-low power digital subthreshold logic circuits," Proc. of International symposium on Low power Electronics and Design, pp. 94--96, 1998 Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. N. Yoshinobu, H. Masahi, K. Takayuki and K. Itoh; "Review and future prospects of low-voltage RAM circuits," IBM journal of research and development, vol. 47, No. 5/6, pp. 525--552, 2003 Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. A. Bhavnagarwala, X. Tang, and J. Meindl; "The impact of intrinsic device fluctuations on CMOS SRAM cell stability," IEEE Journal of Solid-State Circuits, vol. 36, pp. 658--665, April 2001.Google ScholarGoogle ScholarCross RefCross Ref
  4. S. Mukhopadhyay, H. Mahmoodi and K. Roy; "Modeling of Failure Probability and Statistical Design of SRAM Array for Yield Enhancement in Nanoscaled CMOS," IEEE Transactions on Computer Aided Design, pp 1859--1880, December 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. A. Raychowdhury, S. Mukhopadhyay and K. Roy; "A feasibility study of subthreshold SRAM across technology generations," Proc. of International Conference on Computer Design, pp. 417--422, October 2005 Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. H. Kawaguchi, Y. Itaka and T.Sakurai; "Dynamic leakage cutoff scheme for low-voltage SRAMs," VLSI Circuit Symposium, pp.140--141, June 1998Google ScholarGoogle Scholar
  7. S. Ghosh, S. Mukhopadhyay, K. Kim and K. Roy; "Self-calibration technique for reduction of hold failures in low-power nano-scaled SRAM," Proc. of 43rd Design Automation Conference, pp. 971--976, July 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. I. Carlson, S. Andersson, S. Natarajan and A. Alvandpour; "A High Density, Low Leakage, 5T SRAM for Embedded Caches," Proc. of 30th European Solid State Circuits Conference, pp. 215--218, September 2004Google ScholarGoogle ScholarCross RefCross Ref
  9. R. Aly, M. Faisal and A. Bayoumi; "Novel 7T sram cell for low power cache design," Proc. of IEEE SOC Conference, pp. 171--174, 2005.Google ScholarGoogle Scholar
  10. L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. R. Montoye, L. Sekaric, S. McNab, W. Topol, C. Adams, K. Guarini and W. Haensch; "Stable SRAM Cell Design for the 32 nm Node and Beyond," Symposium on VLSI Technology, pp. 128--129, 2005Google ScholarGoogle Scholar
  11. B. H. Calhoun and A. P. Chandrakasan; "A 256kb Sub-threshold SRAM in 65nm CMOS," Proc. of International Solid State Circuits Conference, pp. 628--629, February 2006Google ScholarGoogle Scholar
  12. J. Chen, L. Clark and T. Chen; "An Ultra-Low-Power Memory With a Subthreshold Power Supply Voltage," IEEE Journal of Solid-State Circuits, vol. 41, pp. 2344--2353, October 2006Google ScholarGoogle ScholarCross RefCross Ref
  13. J. Rabaey, A. chandrakasan and B. Nikolic; "Digital Integrated Circuits: A Design perspective," 2nd edition, New Jersey, Prentice-Hall Inc., 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. E. Seevinck, F. List, and J. Lohstroh, "Static noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits, vol. SC-22, no. 5, pp. 748--754, Oct. 1987Google ScholarGoogle ScholarCross RefCross Ref
  15. B.H Calhoun and A.P. Chandrakasan; "Static noise margin variation for sub-threshold SRAM in 65-nm CMOS," IEEE Journal of Solid-State Circuits, vol. 41, pp. 1673--1679, July 2006Google ScholarGoogle ScholarCross RefCross Ref
  16. E. Grossar, M. Stucchi, K. Maex, and W. Dehaene; "Read Stability and Write-Ability Analysis of SRAM Cells for Nanometer Technologies," IEEE Journal of Solid-State Circuits, vol. 41, pp. 2577--2588, Nov. 2006Google ScholarGoogle ScholarCross RefCross Ref
  17. W. Zhao et al., "New generation of Predictive Technology Model for sub-45nm design exploration," Proc. of International Symposium on Quality Electronics Design, pp. 585--590, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Predictive Technology Models: available online at http://www.eas.asu.edu/~ptm/Google ScholarGoogle Scholar
  19. H. Qin, Y. Cao, D. Markovic, A. Vladimirescu and J. Rabaey; "SRAM leakage suppression by minimizing standby supply voltage," Proc. of International Symposium on Quality Electronic Design, pp. 55--60, March 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A 160 mV, fully differential, robust schmitt trigger based sub-threshold SRAM

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '07: Proceedings of the 2007 international symposium on Low power electronics and design
      August 2007
      432 pages
      ISBN:9781595937094
      DOI:10.1145/1283780

      Copyright © 2007 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 27 August 2007

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • Article

      Acceptance Rates

      Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader