skip to main content
10.5555/1326073.1326093acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Automating post-silicon debugging and repair

Published: 05 November 2007 Publication History

Abstract

Modern IC designs have reached unparalleled levels of complexity, resulting in more and more bugs discovered after design tape-out However, so far only very few EDA tools for post-silicon debugging have been reported in the literature. In this work we develop a methodology and new algorithms to automate this debugging process. Key innovations in our technique include support for the physical constraints specific to post-silicon debugging and the ability to repair functional errors through subtle modifications of an existing layout. In addition, our proposed post-silicon debugging methodology (FogClear) can repair some electrical errors while preserving functional correctness. Thus, by automating this traditionally manual debugging process, our contributions promise to reduce engineers' debugging effort. As our empirical results show, we can automatically repair more than 70% of our benchmark designs.

References

[1]
M. S. Abadir, J. Ferguson and T. E. Kirkland, "Logic Verification via Test Generation", IEEE TCAD, pp. 138--148, Jan. 1988.
[2]
M. Abramovici, P. Bradley, K. Dwarakanath, P. Levin, G. Memmi and D. Miller, "A Reconfigurable Design-for-Debug Infrastructure for SoCs", DAC'06, pp. 7--12.
[3]
K. Baker and J. V. Beers, "Shmoo Plotting: The Black Art of IC Testing", IEEE Design and Test of Computers, Vol. 14, No. 3, pp. 90--97, 1997.
[4]
A. Balasinski, "Optimization of Sub-100-nm Designs for Mask Cost Reduction", Journal of Microlithography, Microfabrication, and Microsystems, Vol. 3, NO. 2, pp. 322--331, Apr. 2004.
[5]
M. L. Bushnell and V. D. Agrawal, Essentials of Electronic Testing, Kluwer, Boston, 2000.
[6]
A. E. Caldwell, A. B. Kahng and I. L. Markov, "Can Recursive Bisection Alone Produce Routable Placements?" DAC'00, pp. 693--698.
[7]
K.-H. Chang, V. Bertacco and I. L. Markov, "Simulation-based Bug Trace Minimization with BMC-based Refinement", ICCAD'05, pp. 1045--1051.
[8]
K.-H. Chang, I. L. Markov and V. Bertacco, "Post-Placement Rewiring and Rebuffering by Exhaustive Search For Functional Symmetries", ICCAD'05, pp. 56--63.
[9]
K.-H. Chang, I. L. Markov and V. Bertacco, "Keeping Physical Synthesis Safe and Sound", IWLS'06, pp. 86--93.
[10]
K.-H. Chang, I. L. Markov and V. Bertacco, "Safe Delay Optimization for Physical Synthesis", ASPDAC'07, pp. 628--633.
[11]
K.-H. Chang, I. L. Markov and V. Bertacco, "Fixing Design Errors with Counterexamples and Resynthesis", ASPDAC'07, pp. 944--949.
[12]
K.-H. Chang, I. L. Markov and V. Bertacco, "Postplacement Rewiring by Exhaustive Search For Functional Symmetries", ACM TODAES'07, Vol. 12, No. 3, Article 32, DOI=10.1145/1255456.1255469
[13]
K.-H. Chang, V. Bertacco and I. L. Markov, "Simulation-based Bug Trace Minimization with BMC-based Refinement", IEEE TCAD, Vol. 26, No. 1, pp. 152--165, Jan. 2007.
[14]
J. Ferguson, "Turning Up the Yield", IEE Electronics Systems and Software, pp. 12--15, June/July 2003.
[15]
R. Goering, "Post-Silicon Debugging Worth a Second Look", EETimes, Feb. 05, 2007.
[16]
D. Josephson, "The Manic Depression of Microprocessor Debug", ITC'02, pp. 657--663.
[17]
D. Josephson, "The Good, the Bad, and the Ugly of Silicon Debug", DAC'06, pp. 3--6.
[18]
C.-H. Lin, Y.-C. Huang, S.-C. Chang, and W.-B. Jone, "Design and Design Automation of Rectification Logic for Engineering Change", ASPDAC'05, pp. 1006--1009.
[19]
J. Melngailis, L. W. Swanson and W. Thompson, "Focused Ion Beams in Semiconductor Manufacturing", Wiley Encyclopedia of Electrical and Electronics Engineering, Dec. 1999.
[20]
S.-J. Pan, K.-T. Cheng, J. Moondanos, and Z. Hanna, "Generation of Shorter Sequences for High Resolution Error Diagnosis Using Sequential SAT", ASPDAC'06, pp. 25--29.
[21]
S. Safarpour, A. Veneris, and H. Mangassarian, "Trace Compaction using SAT-based Reachability Analysis", ASPDAC'07, pp. 932--937.
[22]
A. Veneris and I. N. Hajj, "Design Error Diagnosis and Correction via Test Vector Simulation", IEEE TCAD, pp. 1803--1816, Dec. 1999.
[23]
H. Xiang, L.-D. Huang, K.-Y. Chao, and M. D. F. Wong, "An ECO Algorithm for Resolving OPC and Coupling Capacitance Violations", ASICON'05, pp. 784--787.
[24]
Y.-S. Yang, S. Sinha, A. Veneris and R. E. Brayton, "Automating Logic Rectification by Approximate SPFDs", ASPDAC'07, pp. 402--407.
[25]
J. Zhang, S. Sinha, A. Mishchenko, R. Brayton, and M. Chrzanowska-Jeske, "Simulation and Satisfiability in Logic Synthesis", Proc. IWLS'05, pp. 161--168
[26]
International Technology Roadmap for Semiconductors 2005 Edition, http://www.itrs.net
[27]
http://www.dafca.com/
[28]
http://www.opencores.com/
[29]
http://opensparc-t1.sunsource.net/

Cited By

View all
  • (2016)On simulation-based metrics that characterize the behavior of RTL errorsProceedings of the Summer Computer Simulation Conference10.5555/3015574.3015588(1-8)Online publication date: 24-Jul-2016
  • (2015)Automated rectification methodologies to functional state-space unreachabilityProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2757138(1401-1406)Online publication date: 9-Mar-2015
  • (2015)Increasing Observability in Post-Silicon Debug Using Asymmetric Omega NetworksProceedings of the 28th Symposium on Integrated Circuits and Systems Design10.1145/2800986.2801011(1-7)Online publication date: 31-Aug-2015
  • Show More Cited By
  1. Automating post-silicon debugging and repair

    Recommendations

    Comments

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
    November 2007
    933 pages
    ISBN:1424413826
    • General Chair:
    • Georges Gielen

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 05 November 2007

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ICCAD07
    Sponsor:

    Acceptance Rates

    ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 07 Mar 2025

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)On simulation-based metrics that characterize the behavior of RTL errorsProceedings of the Summer Computer Simulation Conference10.5555/3015574.3015588(1-8)Online publication date: 24-Jul-2016
    • (2015)Automated rectification methodologies to functional state-space unreachabilityProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2757138(1401-1406)Online publication date: 9-Mar-2015
    • (2015)Increasing Observability in Post-Silicon Debug Using Asymmetric Omega NetworksProceedings of the 28th Symposium on Integrated Circuits and Systems Design10.1145/2800986.2801011(1-7)Online publication date: 31-Aug-2015
    • (2013)Space sensitive cache dumping for post-silicon validationProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485412(497-502)Online publication date: 18-Mar-2013
    • (2012)Formal methods for ranking counterexamples through assumption miningProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492937(911-916)Online publication date: 12-Mar-2012
    • (2012)nuTAB-BackSpaceProceedings of the 24th international conference on Computer Aided Verification10.1007/978-3-642-31424-7_37(513-531)Online publication date: 7-Jul-2012
    • (2011)From RTL to siliconProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950884(306-310)Online publication date: 25-Jan-2011
    • (2011)ThreadmillProceedings of the 48th Design Automation Conference10.1145/2024724.2024916(860-865)Online publication date: 5-Jun-2011
    • (2011)TAB-BackSpaceProceedings of the 48th Design Automation Conference10.1145/2024724.2024821(411-416)Online publication date: 5-Jun-2011
    • (2010)Reaching coverage closure in post-silicon validationProceedings of the 6th international conference on Hardware and software: verification and testing10.5555/1987082.1987092(60-75)Online publication date: 4-Oct-2010
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Figures

    Tables

    Media

    Share

    Share

    Share this Publication link

    Share on social media