skip to main content
10.5555/1326073.1326183acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

ECO timing optimization using spare cells

Published: 05 November 2007 Publication History

Abstract

We introduce in this paper a new problem of ECO timing optimization using spare-cell rewiring and present the first work for this problem. Spare-cell rewiring is a popular technique for incremental timing optimization and/or functional change after the placement stage. The spare-cell rewiring problem is very challenging because of its dynamic wiring cost nature for selecting a spare cell, while the existing related problems consider only static wiring cost. For the addressed problem, we present a framework of buffer insertion and gate sizing to handle it. In this framework, we present a dynamic programming algorithm considering the dynamic cost, called dynamic cost programming (DCP), for the ECO timing optimization with spare cells. Without loss of solution optimality, we further present an effective pruning method by selecting spare cells only inside an essential bounding polygon to reduce the solution space. The whole framework is integrated into a commercial design flow. Experimental results based on five industry benchmarks show that our method is very effective and efficient in fixing the timing violations of ECO paths.

References

[1]
C. J. Alpert, J. Hu, S. S. Sapatnekar, and C. N. Sze, "Accurate Estimation of Global Buffer Delay within a Floorplan," in Proceeding of International Conference on Computer Aided Design, pp. 1140--1146, 2004.
[2]
Z. Li, W. Shi, "An O(mn) Time Algorithm for Optimal Buffer Insertion of Nets With m Sinks," in Proceeding of Asia and South Pacific Design Automation Conference, pp. 320--325, 2006.
[3]
I.-M. Liu, A. Aziz, D. F. Wong, and H. Zhou, "An Efficient Buffer Insertion Algorithm for Large Networks Based on Lagrangian Relaxation," In Proceeding of International Conference on Computer Design, pp. 614--621, 1999.
[4]
I.-M. Liu, A. Aziz, and D. F. Wong, "Meeting Delay Constraints in DSM by Minimal Repeater Insertion," In Proceeding of Design Automation and Test in Europe, pp. 436--440, 2000.
[5]
W. Shi and Z. Li, "An O(nlogn) Time Algorithm for Optimal Buffer Insertion," in Proceeding of Design Automation Conference, pp. 580--585, 2003.
[6]
C. N. Sze, C. J. Alpert, J. Hu, and W. Shi, "Path Based Buffer Insertion," in Proceeding of Design Automation Conference, pp. 509--514, 2005.
[7]
L. P. P P. van Ginneken. "Buffer Placement in Distributed RC-tree Networks for Minimal Elmore Delay," in Proceeding of International Symposium on Circuits and Systems, pp. 865--868, 1990.

Cited By

View all
  • (2014)NBTI tolerance and leakage reduction using gate sizingACM Journal on Emerging Technologies in Computing Systems10.1145/262965711:1(1-12)Online publication date: 6-Oct-2014
  • (2014)Clock tree resynthesis for multi-corner multi-mode timing closureProceedings of the 2014 on International symposium on physical design10.1145/2560519.2560524(69-76)Online publication date: 30-Mar-2014
  • (2013)Intuitive ECO synthesis for high performance circuitsProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485529(1002-1007)Online publication date: 18-Mar-2013
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
November 2007
933 pages
ISBN:1424413826
  • General Chair:
  • Georges Gielen

Sponsors

Publisher

IEEE Press

Publication History

Published: 05 November 2007

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD07
Sponsor:

Acceptance Rates

ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 07 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2014)NBTI tolerance and leakage reduction using gate sizingACM Journal on Emerging Technologies in Computing Systems10.1145/262965711:1(1-12)Online publication date: 6-Oct-2014
  • (2014)Clock tree resynthesis for multi-corner multi-mode timing closureProceedings of the 2014 on International symposium on physical design10.1145/2560519.2560524(69-76)Online publication date: 30-Mar-2014
  • (2013)Intuitive ECO synthesis for high performance circuitsProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485529(1002-1007)Online publication date: 18-Mar-2013
  • (2013)PushPullProceedings of the 2013 ACM International symposium on Physical Design10.1145/2451916.2451928(50-57)Online publication date: 24-Mar-2013
  • (2013)ECO cost measurement and incremental gate sizing for late process changesACM Transactions on Design Automation of Electronic Systems10.1145/2390191.239020718:1(1-11)Online publication date: 16-Jan-2013
  • (2011)Timing ECO optimization via Bézier curve smoothing and fixability identificationProceedings of the International Conference on Computer-Aided Design10.5555/2132325.2132487(742-746)Online publication date: 7-Nov-2011
  • (2011)Simultaneous functional and timing ECOProceedings of the 48th Design Automation Conference10.1145/2024724.2024757(140-145)Online publication date: 5-Jun-2011
  • (2011)INTEGRAProceedings of the 2011 international symposium on Physical design10.1145/1960397.1960424(115-122)Online publication date: 27-Mar-2011
  • (2010)TRECOProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899792(331-336)Online publication date: 18-Jan-2010
  • (2009)Matching-based minimum-cost spare cell selection for design changesProceedings of the 46th Annual Design Automation Conference10.1145/1629911.1630018(408-411)Online publication date: 26-Jul-2009
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media