skip to main content
10.5555/1326073.1326198acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Variation-aware task allocation and scheduling for MPSoC

Published: 05 November 2007 Publication History

Abstract

As technology scales, the delay uncertainty caused by process variations has become increasingly pronounced in deep submicron designs. As a result, a paradigm shift from deterministic to statistical design methodology at all levels of the design hierarchy is inevitable [1]. In this paper, we propose a variation-aware task allocation and scheduling algorithm for Multiprocessor System-on-Chip (MPSoC) architectures to mitigate the impact of parameter variations. A new design metric, called performance yield and defined as the probability of the assigned schedule meeting the predefined performance constraints, is used to guide the task allocation and scheduling procedure. An efficient yield computation method for task scheduling complements and significantly improves the effectiveness of the proposed variation-aware scheduling algorithm. Experimental results show that our variation-aware scheduler achieves significant yield improvements. On average, 45% and 34% yield improvements over worst-case and nominal-case deterministic schedulers, respectively, can be obtained across the benchmarks by using the proposed variation-aware scheduler.

References

[1]
Shekhar Borkar. Designing reliable systems from unreliable components: The challenges of transistor variability and degradation. IEEE Micro, 25(6):10--16, 2005.
[2]
A. Jerraya and W. Wolf. Multiprocessor systems-on-chips. Morgan Kaufmann Publishers, 2005.
[3]
G. C. Sih and E. A. Lee. A compile-time scheduling heuristic for interconnection-constrained heterogeneous processor architectures. IEEE Transactions on Parallel and Distributed Systems, 04(2):175--187, 1993.
[4]
W. H. Wolf. An architectural co-synthesis algorithm for distributed, embedded computing systems. IEEE Trans. Very Large Scale Integr. Syst., 5(2):218--229, 1997.
[5]
Y. Zhang, X. Hu, and D. Z. Chen. Task scheduling and voltage selection for energy minimization. In Design Automation Conference, pages 183--188, 2002.
[6]
L. Jiong and N. Jha. Static and dynamic variable voltage scheduling algorithms for real-time heterogeneous distributed embedded systems. In 7th Asia and South Pacific Design Automation Conference, pages 719--726, 2002.
[7]
J. Hu and R. Marculescu. Energy-aware communication and task scheduling for network-on-chip architectures under real-time constraints. Design, Automation, and Test in Europe Conference, pages 234--239, 2004.
[8]
C. Hongliang and S. S. Sapatnekar. Statistical timing analysis considering spatial correlations using a single pert-like traversal. In International Conference on Computer Aided Design, pages 621--625, 2003.
[9]
A. Agarwal, D. Blaauw, and V. Zolotov. Statistical timing analysis for intra-die process variations with spatial correlations. In International Conference on Computer Aided Design, pages 900--907, 2003.
[10]
D. Sinha, N. V. Shenoy, and H. Zhou. Statistical gate sizing for timing yield optimization. In IEEE/ACM International Conference on Computer-Aided Design, pages 1037--1041, 2005.
[11]
K. Chopra, S. Shah, A. Srivastava, D. Blaauw, and D. Sylvester. Parametric yield maximization using gate sizing based on efficient statistical power and delay gradient computation. International Conference on Computer-Aided Design, pages 1023--1028, November 2005.
[12]
K. A. Bowman, S. G. Duvall, and J. D. Meindl. Impact of Die-to-Die and Within Die Parameter Fluctuations on the Maximum Clock Frequency Distribution for Gigascale Integration. Journal of Solid-State Circuits, pages 183--190, February 2002.
[13]
T. S. Tia, Z. Deng, M. Shankar, M. Storch, J. Sun, L. C. Wu, and J. W. S. Liu. Probabilistic performance guarantee for real-time tasks with varying computation times. In Real-Time Technology and Applications Symposium, pages 164--173, 1995.
[14]
X. S. Hu, Z. Tao, and E. H. M. Sha. Estimating probabilistic timing performance for real-time embedded systems. Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, 9(6):833--844, 2001. 1063--8210.
[15]
G. D. Veciana, M. F. Jacome, and J.-H. Guo. Hierarchical algorithms for assessing probabilistic constraints on system performance. In IEEE/ACM Design Automation Conference (DAC), pages 251--256, 1998.
[16]
C. Clark. The greatest of a finite set of random variables. Operations Research, pages 145--162, 1961.
[17]
Y. B. Li and J. Henkel. A framework for estimation and minimizing energy dissipation of embedded hw/sw systems. Design Automation Conference (DAC), pages 188--193, 1998.
[18]
R. Dick. Embedded systems synthesis benchmarks suite (e3s). http://www.ece.northwestern.edu/dickrp/e3s/.
[19]
Y. Xie and W. Hung. Temperature-aware task allocation and scheduling for embedded systems. Journal of VLSI Signal Processing, 45(3): pages 177--189, 2006.

Cited By

View all
  • (2018)Task assignment and scheduling in MPSoC under process variationProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201765(690-695)Online publication date: 22-Jan-2018
  • (2015)Variation-aware evaluation of MPSoC task allocation and scheduling strategies using statistical model checkingProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755797(199-204)Online publication date: 9-Mar-2015
  • (2015)Reducing random-dopant fluctuation impact using footer transistors in many-core systemsIntegration, the VLSI Journal10.1016/j.vlsi.2014.06.00548:C(46-54)Online publication date: 1-Jan-2015
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
November 2007
933 pages
ISBN:1424413826
  • General Chair:
  • Georges Gielen

Sponsors

Publisher

IEEE Press

Publication History

Published: 05 November 2007

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD07
Sponsor:

Acceptance Rates

ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 07 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2018)Task assignment and scheduling in MPSoC under process variationProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201765(690-695)Online publication date: 22-Jan-2018
  • (2015)Variation-aware evaluation of MPSoC task allocation and scheduling strategies using statistical model checkingProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755797(199-204)Online publication date: 9-Mar-2015
  • (2015)Reducing random-dopant fluctuation impact using footer transistors in many-core systemsIntegration, the VLSI Journal10.1016/j.vlsi.2014.06.00548:C(46-54)Online publication date: 1-Jan-2015
  • (2014)Process-variation-aware mapping of best-effort and real-time streaming applications to MPSoCsACM Transactions on Embedded Computing Systems10.1145/249081913:2s(1-24)Online publication date: 27-Jan-2014
  • (2013)HW-SW integration for energy-efficient/variability-aware computingProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485437(607-611)Online publication date: 18-Mar-2013
  • (2013)Profit maximization through process variation aware high level synthesis with speed binningProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485335(176-181)Online publication date: 18-Mar-2013
  • (2013)Variability-tolerant workload allocation for MPSoC energy minimization under real-time constraintsACM Transactions on Embedded Computing Systems10.1145/2362336.236233811:4(1-24)Online publication date: 1-Jan-2013
  • (2012)ViPZonEProceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis10.1145/2380445.2380457(33-42)Online publication date: 7-Oct-2012
  • (2011)Process variation-aware routing in NoC based multicoresProceedings of the 48th Design Automation Conference10.1145/2024724.2024930(924-929)Online publication date: 5-Jun-2011
  • (2011)Simultaneous variation-aware architecture exploration and task scheduling for MPSoC energy minimizationProceedings of the 21st edition of the great lakes symposium on Great lakes symposium on VLSI10.1145/1973009.1973063(271-276)Online publication date: 2-May-2011
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media