skip to main content
10.1145/1391469.1391715acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Modeling crosstalk in statistical static timing analysis

Published:08 June 2008Publication History

ABSTRACT

Increasing process variation in the nanometer regime motivates the use of statistical static timing analysis tools for timing verification. As device dimensions get smaller, signal integrity effects such as crosstalk noise become more significant. Therefore, it is necessary to accurately model the impact of crosstalk noise on the circuit delay. Process variations cause variability in the crosstalk alignment which leads to the variability in the delay noise. However, most of the existing approaches model delay noise as a worst-case deterministic quantity. In this work, we capture the variability of delay noise by first deriving the closed-form expressions of mean and standard deviation of the delay noise distribution. Next, we obtain the correlation information of the delay noise and use it to represent the delay noise distribution in canonical form. Delay noise, in canonical form, can easily be integrated with existing SSTA tools. We show experimental results that verify the accuracy of our approach.

References

  1. P. D. Gross, R. Arunachalam, K. Rajagopal and L. T. Pilleggi,"Determining the Worst-Case Aggressor Alignment for Delay Calculation," in Proc. of International Conference on Computer Aided Design, pp. 212--219, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. A. Agarwal, D. Blaauw, V. Zolotov, S. Sundareswaran, M. Zhou, K. Gala, and R. Panda,"Statistical delay computation considering spatial correlations," in ACM/IEEE Asia-Pacific Design Automation Conference, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Orshansky and K. Keutzer,"A general probabilistic framework for worst case timing analysis," in Proc. of Design Automation Conference, pp. 556--561, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Agarwal, D. Blaauw, and V. Zolotov, "Statistical timing analysis using bounds and selective enumeration," in IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, pp. 1243--1260, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. H. Chang and S. Sapatnekar,"Statistical timing analysis considering spatial correlations using a single pert-like traversal," in Proc. of International Conference on Computer Aided Design, pp. 621--625, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Visweswariah, K. Ravindran, K. Kalafala, S. G. Walker, S. Narayan, "First-order incremental block-based statistical timing analysis" in Proc. of Design Automation Conference, pp. 331--336, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Le, X. Li and L. T. Pileggi, "STAC: Statistical Timing Analysis with Correlation," in Proc. of Design Automation Conference, pp. 343--348, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Sinha and H. Zhou, "A Unified Framework for Statistical Timing Analysis with Coupling and Multiple Input Switching," in Proc. of International Conference on Computer Aided Design, pp. 837--843, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Tayade, V. K. Kalyanam, S. Nassif, M. Orshansky and J. Abraham," Estimating Path Delay Distribution Considering Coupling Noise," in Proc. of ACM Great Lakes symposium on VLSI, pp. 61--66, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. T. Sato, Y. Cao, D. Sylvester and C. Hu, "Characterization of Interconnect Coupling Noise using In-situ Delay Change Curve Measurements," in Proc. of IEEE ASIC/SOC Conference, pp. 321--325, 2000.Google ScholarGoogle Scholar
  11. K. Agarwal, T. Sato, Y. Cao, D. Sylvester and C. Hu, "Efficient Generation of Delay Change Curves for Noise-Aware Static Timing Analysis," in Proc. of Design Automation Conference, pp. 342--348, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. K. Agarwal, M. Agarwal, D. Sylvester and D. Blaauw, "Statistical Interconnect Metrics for Physical Design Optimization" in IEEE Trans. of Computer Aided Design of Integrated Circuits and Systems, pp. 1273--1288, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. B, Kahng, B. Liu, X. Xu, "Statistical Gate Delay Calculation with Crosstalk Alignment Considered" in Proc. of ACM Great Lakes symposium on VLSI, pp. 223--228, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. A. Papoulis, S. U. Pillai, "Probability, Random Variables and Stochastic Processes", ISBN 0073660116, 4th edition, 2002.Google ScholarGoogle Scholar
  15. R. Gandikota, K. Chopra, D. Blaauw, D. Sylvester, M. Becer and J. Geada, "Victim Alignment in Crosstalk Aware Timing Analysis." in Proc. of International Conference on Computer Aided Design, 2007 Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. P. Chen, Y. Kukimoto, K. Keutzer, "Refining switching window by time slots for crosstalk noise calculation," in Proc. of International Conference on Computer Aided Design, pp. 583--586, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Modeling crosstalk in statistical static timing analysis

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '08: Proceedings of the 45th annual Design Automation Conference
        June 2008
        993 pages
        ISBN:9781605581156
        DOI:10.1145/1391469
        • General Chair:
        • Limor Fix

        Copyright © 2008 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 8 June 2008

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader