skip to main content
10.1145/1393921.1394010acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Full-system chip multiprocessor power evaluations using FPGA-based emulation

Published: 11 August 2008 Publication History

Abstract

The design process for chip multiprocessors (CMPs) requires extremely long simulation times to explore performance, power, and thermal issues, particularly when operating system (OS) effects are included. In response, our novel FPGA-based emulation methodology models a full CMP design including applications and an OS. Activity counters programmed into the cores feed per-component microarchitectural power models. These models achieve under 10% error compared to detailed gate-level simulations. Our method retains software flexibility, but offers up to 35x speedup compared to corresponding full-system software simulations. We present our approach by emulating a 2-core Leon3 cache-coherent multiprocessor running Linux and parallel benchmarks. In an example case study, our emulated system uses activity counts (a proxy for temperature) to guide process migration between the CMP cores. Overall, this paper's methodology makes possible detailed power and thermal studies of CMPs and their operating systems.

References

[1]
Gaisler research. GRLIB IP core user's manual. Nov 2007
[2]
F. Bellosa. The benefits of event-driven energy accounting in power-sensitive systems, Proc. 9th ACM SIGOPS European Wkshp., 2002
[3]
C. Bienia et al. The PARSEC benchmark suite: Characterization and architectural implications. Princeton Univ. Tech. Rep., (TR81108), Jan 2008
[4]
D. Brooks et al. Wattch: A framework for architectural-level power analysis and optimizations. Proc. 27th Intl. Symp. on Computer Architecture, June 2000
[5]
C. Chang et al. A high-end reconfigurable computing system. IEEE Design and Test of Computers, 2005
[6]
M. Chidester and A. George. "Parallel simulation of chip-multiprocessor architectures". ACM Trans. on Modeling and Computer Simulation, 12(3):176--200, July 2002
[7]
J. Choi et al. Thermal aware task scheduling at the system software level. Proc. 2007 Intl. Symp. on Low Power Electronics and Design, 2007
[8]
E. Chung et al. PROTOFLEX: FPGA-accelerated hybrid functional simulator. Computer Architecture Lab at Carnegie Mellon (CALCM) Technical Report, (2007-2), Feb 2007
[9]
G. Contreras and M. Martonosi. Power prediction for Intel XScale processors using performance monitoring units. Proc. 2005 Intl. Symp. on Low Power Electronics and Design, 2005
[10]
D. Atienza et al. A fast HW/SW FPGA-based thermal emulation framework for multiprocessor system-on-chip. Proc. 43rd Conf. on Design Automation, July 2006
[11]
N. Dave et al. Implementing a functional/timing partitioned microprocessor simulator with an FPGA. Wkshp. on Architecture Research using FPGA Platforms, Feb 2006
[12]
J. Donald and M. Martonosi. Techniques for multicore thermal management: Classification and new exploration. Proc. 33rd Intl. Symp. on Computer Architecture, 2006
[13]
S. Heo et al. Reducing power density through activity migration. Proc. 2003 Intl. Symp. on Low Power Electronics and Design, 2003
[14]
C. Isci and M. Martonosi. Runtime power monitoring in high-end processors: methodology and empirical data. Proc. 36th Intl. Symp. on Microarchitecture, Dec 2003
[15]
J. Coburn et al. Power Emulation: A new paradigm for power estimation. Proc. 42nd Conf. on Design Automation, June 2005
[16]
R. Joseph and M. Martonosi. Runtime power estimation in high performance microprocessors. Proc. 2001 Intl. Symp. on Low Power Electronics and Design, 2001
[17]
R. Mahajan et al. The evolution of microprocessor packaging. Intel Tech. Jnl., 2001
[18]
M. Martin et al. Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset. Computer Architecture News, Sept 2005
[19]
M. Ghodrat et al. Accelerating system-on-chip power analysis using hybrid power estimation. Proc. 44th Conf. on Design Automation, June 2007
[20]
K. Oner et al. The design of RPM: An FPGA-based multiprocessor emulator. Proc. 3rd Intl. Symp. on Field Programmable Gate Arrays, Feb 1995
[21]
K. Skadron et al. Temperature-aware microarchitecture. Proc. 30th Intl. Symp. on Computer Architecture, June 2003
[22]
N. Vijaykrishnan et al. Energy-driven integrated hardware-software optimizations using SimplePower. Proc. 27th Intl. Symp. on Computer Architecture, 2000
[23]
R. Viswanath et al. Thermal performance challenges from silicon to systems. Intel Tech. Jnl., 4(3):16, 2000
[24]
J. Wawrzynek et al. RAMP: A research accelerator for multiple processors. Tech. Rep. UC Berkeley, EECS-2006-158, Nov 2006

Cited By

View all
  • (2023)Ethernet Emulation over PCIe for RISC-V Software Development Vehicles2023 38th Conference on Design of Circuits and Integrated Systems (DCIS)10.1109/DCIS58620.2023.10335994(1-6)Online publication date: 15-Nov-2023
  • (2022)An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core ProcessorsACM Transactions on Architecture and Code Optimization10.1145/351682519:3(1-24)Online publication date: 4-May-2022
  • (2019)SimmaniProceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3352460.3358322(1050-1062)Online publication date: 12-Oct-2019
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
ISLPED '08: Proceedings of the 2008 international symposium on Low Power Electronics & Design
August 2008
396 pages
ISBN:9781605581095
DOI:10.1145/1393921
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 11 August 2008

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. activity migration
  2. full-system fpga-based emulation
  3. power models

Qualifiers

  • Research-article

Conference

ISLPED08
Sponsor:

Acceptance Rates

Overall Acceptance Rate 398 of 1,159 submissions, 34%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)7
  • Downloads (Last 6 weeks)0
Reflects downloads up to 07 Mar 2025

Other Metrics

Citations

Cited By

View all
  • (2023)Ethernet Emulation over PCIe for RISC-V Software Development Vehicles2023 38th Conference on Design of Circuits and Integrated Systems (DCIS)10.1109/DCIS58620.2023.10335994(1-6)Online publication date: 15-Nov-2023
  • (2022)An FPGA-based Approach to Evaluate Thermal and Resource Management Strategies of Many-core ProcessorsACM Transactions on Architecture and Code Optimization10.1145/351682519:3(1-24)Online publication date: 4-May-2022
  • (2019)SimmaniProceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3352460.3358322(1050-1062)Online publication date: 12-Oct-2019
  • (2019)A Hardware-Accelerated Estimation-Based Power Profiling Unit - Enabling Early Power-Aware Embedded Software Design and On-Chip Power Management10.1007/978-3-662-58834-5_4(59-78)Online publication date: 23-Feb-2019
  • (2017)AN EXPOSITION ON RESCALING OF CACHEi-manager's Journal on Computer Science10.26634/jcom.5.1.137945:1(22)Online publication date: 2017
  • (2016)A systematic approach to automated construction of power emulation modelsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2971949(600-605)Online publication date: 14-Mar-2016
  • (2016)StroberACM SIGARCH Computer Architecture News10.1145/3007787.300115144:3(128-139)Online publication date: 18-Jun-2016
  • (2016)An FPGA-based infrastructure for fine-grained DVFS analysis in high-performance embedded systemsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2897984(1-6)Online publication date: 5-Jun-2016
  • (2016)StroberProceedings of the 43rd International Symposium on Computer Architecture10.1109/ISCA.2016.21(128-139)Online publication date: 18-Jun-2016
  • (2016)Architectural Enhancement of LEON3 Processor for Real Time and Feedback Applications2016 International Conference on Frontiers of Information Technology (FIT)10.1109/FIT.2016.014(29-34)Online publication date: Dec-2016
  • Show More Cited By

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media