skip to main content
10.1145/1450095.1450106acmconferencesArticle/Chapter ViewAbstractPublication PagesesweekConference Proceedingsconference-collections
research-article

Compiling custom instructions onto expression-grained reconfigurable architectures

Published: 19 October 2008 Publication History

Abstract

While customizable processors aim at combining the flexibility of general purpose processors with the speed and power advantages of custom circuits, commercially available processors are often limited by the inability to reconfigure the application-specific features after manufacturing. Even though reconfigurable array-based accelerators are available, their performance is often unacceptable, and comes with other disadvantages such as the size of the configuration bitstream. Additionally, compilation support is limited for existing Coarse Grain Reconfigurable Arrays (CGRAs).
We propose to target a different reconfigurable fabric, the EGRA (Expression-Grained Reconfigurable Array), to realize custom instructions in a customizable processor. The EGRA is based on arithmetic processing elements that can compute entire subexpressions in a single cycle and can be connected in both combinational or sequential manners. We present here a compilation flow for this architecture, including novel algorithms for subgraph enumeration and scheduling. The compilation flow proposed is used here to efficiently explore the design space of the EGRA processing element; furthermore, its modularity and flexibility suggest suitability to generic CGRA retargetable compilation.

References

[1]
G. Ansaloni, P. Bonzini, and L. Pozzi, "Design and architectural exploration of expression--grained reconfigurable arrays," in Proceedings of the 6th Symposium on Application Specific Processors, Anaheim, CA, June 2008.
[2]
N. Clark, M. Kudlur, H. Park, S. Mahlke, and K. Flautner, "Application-specific processing on a general-purpose core via transparent instruction set customization," in MICRO 37: Proceedings of the 37th Annual International Symposium on Microarchitecture. Washington, DC, USA: IEEE Computer Society, Dec. 2004, pp. 30--40.
[3]
A. K. Verma, P. Brisk, and P. Ienne, "Rethinking custom ISE identification: A new processor-agnostic method," in Proceedings of the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Salzburg, Austria, Oct. 2007, pp. 125--134.
[4]
C. Morra, J. a. M. P. Cardoso, and J. Becker, "Using rewriting logic to match patterns of instructions from a compiler intermediate form to coarse-grained processing elements," in Proceedings of the 2007 IEEE International Parallel and Distributed Processing Symposium, 2007, Mar. 2007, pp. 1--8.
[5]
R. Geiβ, G. V. Batz, D. Grund, S. Hack, and A. Szalkowski, "GrGen: A fast SPO-based graph rewriting tool," in Proceedings of the 3rd Internatial Conference on Graph Transformations, Natal, Brazil, Sept. 2006.
[6]
K. Atasu, L. Pozzi, and P. Ienne, "Automatic application-specific instruction-set extensions under microarchitectural constraints," in Proceedings of the 40th Design Automation Conference, Anaheim, Calif., June 2003, pp. 256--61.
[7]
N. Clark, A. Hormati, S. Mahlke, and S. Yehia, "Scalable subgraph mapping for acyclic computation accelerators," in Proceedings of the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Seoul, South Korea, Oct. 2006, pp. 147--157.
[8]
P. Y. Calland, A. Mignotte, O. Peyran, Y. Robert, and F. Vivien, "Retiming DAG's," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 12, pp. 1319--25, Dec. 1998.
[9]
M. Guthaus, J. Ringenberg, D. Ernst, T. Austin, T. Mudge, and R. Brown, "MiBench: A free, commercially representative embedded benchmark suite," in Proceedings of the IEEE 4th Annual Workshop on Workload Characterization, Dec. 2001, pp. 3--14. {Online}. Available: http: //www.eecs.umich.edu/mibench/Publications/MiBench.pdf
[10]
M. Galanis, G. Theodoridis, S. Tragoudas, and C. Goutis, "A high-performance data path for synthesizing DSP kernels," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 6, pp. 1154--1162, June 2006.
[11]
B. Mei, S. Vernalde, D. Verkest, H. De Man, and R. Lauwereins, "DRESC: A retargetable compiler for coarse-grained reconfigurable architectures," in Proceedings of the IEEE International Conference on Field-Programmable Technology, Dec. 2002, pp. 166--173.
[12]
M. Ahn, J. Yoon, Y. Paek, Y. Kim, M. Kiemb, and K. Choi, "A spatial mapping algorithm for heterogeneous coarse-grained reconfigurable architectures," in Proceedings of the Design, Automation and Test in Europe Conference and Exhibition. European Design and Automation Association 3001 Leuven, Belgium, Belgium, Mar. 2006, pp. 363--368.
[13]
J. W. Yoon, A. Shrivastava, S. Park, M. Ahn, R. Jeyapaul, and Y. Paek, "SPKM: A novel graph-drawing based algorithm for application mapping onto coarse-grained reconfigurable architectures," in Proceedings of the Asia and South Pacific Design Automation Conference, Seoul, Korea, Jan. 2008.
[14]
Y. Guo, G. J. Smit, H. Broersma, and P. M. Heysters, "A graph covering algorithm for a coarse-grain reconfigurable system," in Proceedings of the 2003 ACM Conference on Languages, Compilers, and Tools for Embedded Systems. New York, NY, USA: ACM, July 2003, pp. 199--208.
[15]
H. Singh, L. Ming-Hau, L. Guangming, F. J. Kurdahi, N. Bagherzadeh, and E. M. Chaves Filho, "Morphosys: An integrated reconfigurable system for data-parallel computation-intensive applications," IEEE Transactions on Computers, vol. 49, no. 5, pp. 465--481, May 2000.
[16]
F. Bouwens, M. Berekovic, A. Kanstein, and G. Gaydadjiev, "Architectural exploration of the ADRES coarse-grained reconfigurable array," in Reconfigurable Computing: Architectures, Tools and Applications, ser. Lecture Notes in Computer Science. Berlin: Springer, June 2007, vol. 4419, pp. 1--13.
[17]
M.-H. Lee, H. Singh, G. Lu, N. Bagherzadeh, F. J. Kurdahi, E. M. C. Filho, and V. C. Alves, "Design and implementation of the MorphoSys reconfigurable computing processor," Journal of VLSI Signal Processing Systems, vol. 24, no. 2-3, pp. 147--164, Mar. 2000.
[18]
PACT XPP Technologies, Inc., "XPP-III processor overview," 2006. {Online}. Available: http://www.pactxpp.com/main/ download/XPP-III overview WP.pdf

Cited By

View all
  • (2022)An Architecture- Independent CGRA Compiler enabling OpenMP Applications2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW55747.2022.00112(631-638)Online publication date: May-2022
  • (2013)A just-in-time customizable processorProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561930(524-531)Online publication date: 18-Nov-2013
  • (2013)A Just-in-Time Customizable processor2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2013.6691166(524-531)Online publication date: Nov-2013
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Conferences
CASES '08: Proceedings of the 2008 international conference on Compilers, architectures and synthesis for embedded systems
October 2008
274 pages
ISBN:9781605584690
DOI:10.1145/1450095
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 19 October 2008

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. coarse-grained reconfigurable architectures
  2. compilers
  3. data-flow architectures
  4. horizontal microprogramming
  5. instruction set extensions

Qualifiers

  • Research-article

Conference

ESWEEK 08
ESWEEK 08: Fourth Embedded Systems Week
October 19 - 24, 2008
GA, Atlanta, USA

Acceptance Rates

Overall Acceptance Rate 52 of 230 submissions, 23%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)3
  • Downloads (Last 6 weeks)0
Reflects downloads up to 15 Feb 2025

Other Metrics

Citations

Cited By

View all
  • (2022)An Architecture- Independent CGRA Compiler enabling OpenMP Applications2022 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW55747.2022.00112(631-638)Online publication date: May-2022
  • (2013)A just-in-time customizable processorProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561930(524-531)Online publication date: 18-Nov-2013
  • (2013)A Just-in-Time Customizable processor2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)10.1109/ICCAD.2013.6691166(524-531)Online publication date: Nov-2013
  • (2012)Integrated Kernel Partitioning and Scheduling for Coarse-Grained Reconfigurable ArraysIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2012.220988631:12(1803-1816)Online publication date: 1-Dec-2012
  • (2011)EGRAIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2010.204466719:6(1062-1074)Online publication date: 1-Jun-2011
  • (2010)KAHRISMAProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871127(819-824)Online publication date: 8-Mar-2010
  • (2009)CGRA expressProceedings of the 2009 international conference on Compilers, architecture, and synthesis for embedded systems10.1145/1629395.1629433(271-280)Online publication date: 11-Oct-2009

View Options

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Figures

Tables

Media

Share

Share

Share this Publication link

Share on social media