skip to main content
10.1145/1555754.1555758acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
research-article

Architecting phase change memory as a scalable dram alternative

Published: 20 June 2009 Publication History

Abstract

Memory scaling is in jeopardy as charge storage and sensing mechanisms become less reliable for prevalent memory technologies, such as DRAM. In contrast, phase change memory (PCM) storage relies on scalable current and thermal mechanisms. To exploit PCM's scalability as a DRAM alternative, PCM must be architected to address relatively long latencies, high energy writes, and finite endurance.
We propose, crafted from a fundamental understanding of PCM technology parameters, area-neutral architectural enhancements that address these limitations and make PCM competitive with DRAM. A baseline PCM system is 1.6x slower and requires 2.2x more energy than a DRAM system. Buffer reorganizations reduce this delay and energy gap to 1.2x and 1.0x, using narrow rows to mitigate write energy and multiple rows to improve locality and write coalescing. Partial writes enhance memory endurance, providing 5.6 years of lifetime. Process scaling will further reduce PCM energy costs and improve endurance.

References

[1]
Process integration, devices&structures. International Technology Roadmap for Semiconductors, 2007.
[2]
S. Ahn et al. Highly manufacturable high density phase change memory of 64Mb and beyond. In International Electron Devices Meeting, 2004.
[3]
V. Aslot and R. Eigenmann. Quantitative performance analysis of the SPEC OMPM2001 benchmarks. Scientific Programming, 11(2), 2003.
[4]
D. Bailey et al. NAS parallel benchmarks. In Technical Report RNR-94-007, NASA Ames Research Center, March 1994.
[5]
M. Bauer et al. A multilevel-cell 32mb flash memory. In International Solid-State Circuits Conference, 1995.
[6]
F. Bedeschi et al. An 8Mb demonstrator for high-density 1.8V phase-change memories. In Symposium on VLSI Circuits, 2004.
[7]
F. Bedeschi et al. A multi-level-cell bipolar-selected phase-change memory. In International Solid-State Circuits Conference, 2008.
[8]
Y. Chen et al. Ultra-thin phase-change bridge memory device using GeSb. In International Electron Devices Meeting, 2006.
[9]
Y. Choi. Under the hood: DRAM architectures: 8F2 vs. 6F2. EE Times, February 2008.
[10]
R. Hamming. Error detecting and error correcting codes. Bell System Technical Journal, 29(2), April 1950.
[11]
H. Horii et al. A novel cell technology using N-doped GeSbTe films for phase change RAM. In Symposium on VLSI Technology, 2003.
[12]
S. Kang et al. A 0.1um 1.8V 256Mb 66MHz synchronous burst PRAM. In International Solid-State Circuits Conference, 2006.
[13]
T. Kgil and T. Mudge. FlashCache: A NAND flash memory file cache for low power web servers. In International Conference on Compilers on Compilers, Architecture, and Synthesis for Embedded Systems, October 2006.
[14]
S. Lai. Current status of the phase change memory and its future. In International Electron Devices Meeting, 2003.
[15]
K.-J. Lee et al. A 90nm 1.8V 512Mb diode-switch PRAM with 266 MB/s read throughput. Journal of Solid-State Circuits, 43(1), January 2008.
[16]
Micron. 512Mb DDR2 SDRAM component data sheet: T47H128M4B6-25. In www.micron.com, March 2006.
[17]
Micron. Technical note TN-47-04: Calculating memory system power for DDR2. In www.micron.com, June 2006.
[18]
N. Muralimanohar et al. Optimizing NUCA organizations and wiring alternatives for large caches with CACTI 6.0. In International Symposium on Microarchitecture, December 2007.
[19]
T. Nirschl et al. Write strategies for 2 and 4-bit multi-level phase-change memory. In International Electron Devices Meeting, 2008.
[20]
H. Oh et al. Enhanced write performance of a 64mb phase-change random access memory. In International Solid-State Circuits Conference, 2005.
[21]
F. Pellizzer et al. A 90nm phase change memory technology for stand-alone non-volatile memory applications. In Symposium on VLSI Circuits, 2006.
[22]
A. Pirovano et al. Scaling analysis of phase-change memory technology. In International Electron Devices Meeting, 2003.
[23]
S. Raoux et al. Phase-change random access memory: A scalable technology. IBM Journal of Research and Development, 52(4/5), Jul/Sept 2008.
[24]
J. Renau et al. SESC simulator. In http://sesc.sourceforge.net, 2005.
[25]
M. Sinha et al. High-performance and low-voltage sense-amplifier techniques for sub-90nm sram. In International Systems-on-Chip Conference, 2003.
[26]
N. Weste and D. Harris. CMOS VLSI Design. Pearson Education, 3 edition, 2005.
[27]
S. Woo et al. The SPLASH-2 programs: Characterization and methodological considerations. In International Symposium on Computer Architecture, June 1995.

Cited By

View all
  • (2025)In-memory encryption using the advanced encryption standardPhilosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences10.1098/rsta.2023.0396383:2288Online publication date: 16-Jan-2025
  • (2024)Taming hot bloat under virtualization with HUGESCOPEProceedings of the 2024 USENIX Conference on Usenix Annual Technical Conference10.5555/3691992.3692053(999-1012)Online publication date: 10-Jul-2024
  • (2024)Testing Algorithms for Hard to Detect Thermal Crosstalk Induced Write Disturb Faults in Phase Change Memories2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546778(1-6)Online publication date: 25-Mar-2024
  • Show More Cited By

Index Terms

  1. Architecting phase change memory as a scalable dram alternative

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture
      June 2009
      510 pages
      ISBN:9781605585260
      DOI:10.1145/1555754
      • cover image ACM SIGARCH Computer Architecture News
        ACM SIGARCH Computer Architecture News  Volume 37, Issue 3
        June 2009
        495 pages
        ISSN:0163-5964
        DOI:10.1145/1555815
        Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 20 June 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. dram alternative
      2. endurance
      3. energy
      4. pcm
      5. performance
      6. phase change memory
      7. power
      8. scalability

      Qualifiers

      • Research-article

      Conference

      ISCA '09
      Sponsor:

      Acceptance Rates

      Overall Acceptance Rate 543 of 3,203 submissions, 17%

      Upcoming Conference

      ISCA '25

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)367
      • Downloads (Last 6 weeks)35
      Reflects downloads up to 19 Feb 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2025)In-memory encryption using the advanced encryption standardPhilosophical Transactions of the Royal Society A: Mathematical, Physical and Engineering Sciences10.1098/rsta.2023.0396383:2288Online publication date: 16-Jan-2025
      • (2024)Taming hot bloat under virtualization with HUGESCOPEProceedings of the 2024 USENIX Conference on Usenix Annual Technical Conference10.5555/3691992.3692053(999-1012)Online publication date: 10-Jul-2024
      • (2024)Testing Algorithms for Hard to Detect Thermal Crosstalk Induced Write Disturb Faults in Phase Change Memories2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546778(1-6)Online publication date: 25-Mar-2024
      • (2024)AmLuCEP: Amalgamating LUT-based Compression and Adaptive Encoding Assisted Block Placement To Improve Lifetime of PCM-based Main MemoriesACM Transactions on Design Automation of Electronic Systems10.1145/368933429:6(1-24)Online publication date: 20-Aug-2024
      • (2024)A Memory-Disaggregated Radix TreeACM Transactions on Storage10.1145/366428920:3(1-41)Online publication date: 6-Jun-2024
      • (2024)FSDedup: Feature-Aware and Selective Deduplication for Improving Performance of Encrypted Non-Volatile Main MemoryACM Transactions on Storage10.1145/366273620:4(1-33)Online publication date: 1-May-2024
      • (2024)Trimma: Trimming Metadata Storage and Latency for Hybrid Memory SystemsProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3689612(108-120)Online publication date: 14-Oct-2024
      • (2024)MORSE: Memory Overwrite Time Guided Soft Writes to Improve ReRAM Energy and EnduranceProceedings of the 2024 International Conference on Parallel Architectures and Compilation Techniques10.1145/3656019.3676890(26-39)Online publication date: 14-Oct-2024
      • (2024)Streaming Algorithms with Few State ChangesProceedings of the ACM on Management of Data10.1145/36511452:2(1-28)Online publication date: 14-May-2024
      • (2024)H3DM: A High-bandwidth High-capacity Hybrid 3D Memory Design for GPUsProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/36390388:1(1-28)Online publication date: 21-Feb-2024
      • Show More Cited By

      View Options

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media