skip to main content
research-article

Scalable high performance main memory system using phase-change memory technology

Published:20 June 2009Publication History
Skip Abstract Section

Abstract

The memory subsystem accounts for a significant cost and power budget of a computer system. Current DRAM-based main memory systems are starting to hit the power and cost limit. An alternative memory technology that uses resistance contrast in phase-change materials is being actively investigated in the circuits community. Phase Change Memory (PCM) devices offer more density relative to DRAM, and can help increase main memory capacity of future systems while remaining within the cost and power constraints.

In this paper, we analyze a PCM-based hybrid main memory system using an architecture level model of PCM.We explore the trade-offs for a main memory system consisting of PCMstorage coupled with a small DRAM buffer. Such an architecture has the latency benefits of DRAM and the capacity benefits of PCM. Our evaluations for a baseline system of 16-cores with 8GB DRAM show that, on average, PCM can reduce page faults by 5X and provide a speedup of 3X. As PCM is projected to have limited write endurance, we also propose simple organizational and management solutions of the hybrid memory that reduces the write traffic to PCM, boosting its lifetime from 3 years to 9.7 years.

References

  1. The Basics of Phase Change Memory Technology. http://www.numonyx.com/Documents/WhitePapers/PCM Basics WP.pdf.Google ScholarGoogle Scholar
  2. International Technology Roadmap for Semiconductors, ITRS 2007.Google ScholarGoogle Scholar
  3. Apple Computer Inc. Apple Products. http://www.apple.com.Google ScholarGoogle Scholar
  4. F. Bedeschil et al. A multi-level-cell bipolar-selected phase-change memory. In 2008 IEEE International Solid-State Circuits Conference, Feb. 2008.Google ScholarGoogle Scholar
  5. E. Doller. Flash Memory Trends and Technologies. Intel Developer Forum, 2006.Google ScholarGoogle Scholar
  6. E.Grochowski and R. Halem. Technological impact of magnetic hard disk drives on storage systems. IBM Systems. Journal, 42(2), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Ekman and P. Stenstrom. A case for multi-level main memory. In WMPI '04: Proceedings of the 3rd workshop on Memory performance issues, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Ekman and P. Stenstrom. A cost-effective main memory organization for future servers. In IPDPS '05: Proceedings of the 19th IEEE International Parallel and Distributed Processing Symposium, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. Freitas and W. Wilcke. Storage-class memory: The next storage system technology. IBM Journal of R. and D., 52(4/5):439--447, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. HP. Memory technology evolution: an overview of system memory technologies, technology brief, 7th edition, 1999.Google ScholarGoogle Scholar
  11. C.-G. Hwang. Semiconductor memories for IT era. In 2002 IEEE International Solid-State Circuits Conference, pages 24--27, Feb. 2002.Google ScholarGoogle Scholar
  12. J. Javanifard et al. A 45nm Self-Aligned-Contact Process 1Gb NOR Flash with 5MB/s Program Speed. In 2008 IEEE International Solid--State Circuits Conference.Google ScholarGoogle Scholar
  13. T. Kgil, D. Roberts, and T. Mudge. Improving NAND Flash Based Disk Caches. In ISCA '08: Proceedings of the 35th annual international symposium on Computer architecture, pages 327--338, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. K. J. Lee et al. A 90nm 1.8V 512Mb Diode-Switch PRAM with 266 MB/s Read Throughput. isscc08, 43(1):150--162, 2008.Google ScholarGoogle Scholar
  15. C. Lefurgy et al. Energy management for commercial servers. IEEE Computer, 36(12):39--48, Dec. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M-Systems. TrueFFS Wear-leveling Mechanism. http://www.dataio.com/pdf/NAND/MSystems/TrueFFS Wear Leveling Mechanism.pdf.Google ScholarGoogle Scholar
  17. P. Machanick. The Case for SRAM Main Memory. Computer Architecture News, 24(5):23--30, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. McCalpin. Memory bandwidth and machine balance in current high performance computers. IEEE Computer Society Technical Committee on Computer Architecture (TCCA) Newsletter, Dec. 1995.Google ScholarGoogle Scholar
  19. MetaRAM, Inc. MetaRAM. http://www.metaram.com/.Google ScholarGoogle Scholar
  20. Micron. Micron System Power Calculator. http://www.micron.com/support/part info/powercalc.Google ScholarGoogle Scholar
  21. D. Nobunagal et al. A 50nm 8Gb NAND Flash Memory with 100MB/s Program Throughput and 200MB/s DDR Interface. In 2008 IEEE International Solid-State Circuits Conference.Google ScholarGoogle Scholar
  22. S. R. Ovshinsky. Reversible electrical switching phenomena in disordered structures. Phys. Rev. Lett., 21(20), 1968.Google ScholarGoogle ScholarCross RefCross Ref
  23. S. Raoux et al. Phase-change random access memory: A scalable technology. IBM Journal of R. and D., 52(4/5):465--479, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. J. Tominaga, T. Kikukawa, M. Takahashi, and R. T. Phillips. Structure of the Optical Phase Change Memory Alloy, AgVInSbTe, Determined by Optical Spectroscopy and Electron Diffraction,. J. Appl. Phys., 82(7), 1997.Google ScholarGoogle ScholarCross RefCross Ref
  25. C. Weissenberg. Current&Future Main Memory Technology for IA Platforms. Intel Developer Forum, 2006.Google ScholarGoogle Scholar
  26. N. Yamada, E. Ohno, K. Nishiuchi, and N. Akahira. Rapid-Phase Transitions of GeTe-Sb2Te3 Pseudobinary Amorphous Thin Films for an Optical Disk Memory. J. Appl. Phys., 69(5), 1991.Google ScholarGoogle ScholarCross RefCross Ref
  27. D. Ye et al. Prototyping a hybrid main memory using a virtual machine monitor. In Proceedings of the IEEE International Conference on Computer Design, 2008.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Scalable high performance main memory system using phase-change memory technology

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 37, Issue 3
      June 2009
      495 pages
      ISSN:0163-5964
      DOI:10.1145/1555815
      Issue’s Table of Contents
      • cover image ACM Conferences
        ISCA '09: Proceedings of the 36th annual international symposium on Computer architecture
        June 2009
        510 pages
        ISBN:9781605585260
        DOI:10.1145/1555754

      Copyright © 2009 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 20 June 2009

      Check for updates

      Qualifiers

      • research-article

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader