skip to main content
10.1145/1572471.1572481acmconferencesArticle/Chapter ViewAbstractPublication PagesslipConference Proceedingsconference-collections
research-article

Floorplan-based FPGA interconnect power estimation in DSP circuits

Published:26 July 2009Publication History

ABSTRACT

A novel high-level approach for estimating power consumption of global interconnects in data-path oriented designs implemented in FPGAs is presented. The methodology is applied to interconnections between modules and depends only on their mutual distance and shape. The power model has been characterized and verified with on-board power measurements, instead of using low-level estimation tools which often lack the required accuracy (observed errors go up to 350%). The results show that most of the errors of the presented power model lie within 20% of the physical measurements. This is an excellent result considering that in [2] it is shown that there is already a 20% variation in net capacitance due to the different routing solutions given by router for the same placement.

References

  1. Altera. www.altera.com.Google ScholarGoogle Scholar
  2. J. H. Anderson and F. N. Najm. Interconnect capacitance estimation for fpgas. In Proc. on ASP-DAC, pages 713--718, Jan. 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. S. Balachandran and D. Bhatia. A-priori wirelength and interconnect estimation based on circuit characteristics. In Proc. on SLIP, pages 77--84, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. D. Chen, J. Cong, and Y. Fan. Low-power high-level synthesis for fpga architectures. In Proc. on ISLPED, pages 134--139, Aug. 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. P. Christie and D. Stroobandt. The interpretation and application of rent's rule. IEEE Trans. on VLSI, 8(6):639--648, Dec. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Y. S. D. Elléouet and N. Julien. An fpga power aware design flow. In Proc. on PATMOS, pages 415--424, Sept. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. V. Degalahal and T. Tuan. Methodology for high level estimation of fpga power consumption. In Proc. ASP-DAC, pages 657--660, Jan. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. French, L. Wang, T. Anderson, and M. Wirthlin. Post synthesis level power modelling of fpgas. In Proc. on FCCM, pages 281--282, Apr. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. GeoSteiner. http://www.diku.dk/geosteiner/.Google ScholarGoogle Scholar
  10. GTL. http://www.infosun.fim.uni-passau.de/gtl/.Google ScholarGoogle Scholar
  11. R. Jevtic and C. Carreras. Power estimation of embedded multiplier blocks in fpgas. IEEE Trans. on VLSI, to be published, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. P. Kannan, S. Balachandran, and D. Bhatia. fgrep - fast generic routing demand estimation for placed fpga circuits. In Proc. on FPL, pages 37--47, Aug. 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. Mak, P. Sedcole, P. Y. K. Cheung, and W. Luk. Interconnection lengths and delays estimation for communication links in fpgas. In Proc. on SLIP, pages 1--9, Apr. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. V. Manohararajah, G. R. Chiu, D. P. Singh, and S. D. Brown. Difficulty of predicting interconnect delay in a timing driven fpga cad flow. In Proc. on SLIP, pages 3--8, Mar. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Opencores. http://www.opencores.org/.Google ScholarGoogle Scholar
  16. L. Shang, A. S. Kaviani, and K. Bathala. Dynamic power consumption in virtex-ii fpga family. In Proc. FPGA, pages 157--164, Feb 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. N. Sherwani. Algorithms for Physical VLSI Design Automation. Cluwer Academic Publisher, Boston/Dordrecht/London, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. A. Singh and M. Marek-Sadowska. Efficient circuit clustering for area and power reduction in fpgas. ACM Trans. on DAES, 7(4):643--663, Oct. 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Xilinx. www.xilinx.com.Google ScholarGoogle Scholar
  20. P. Zarkesh-Ha, J. A. Davis, and J. D. Meindl. Prediction of net-length distribution for global interconnects in a heterogeneous system-on-a-chip. IEEE Trans. on VLSI, 8(6):649--659, Dec. 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Floorplan-based FPGA interconnect power estimation in DSP circuits

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      SLIP '09: Proceedings of the 11th international workshop on System level interconnect prediction
      July 2009
      122 pages
      ISBN:9781605585765
      DOI:10.1145/1572471

      Copyright © 2009 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 26 July 2009

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate6of8submissions,75%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader