skip to main content
research-article

A Synthesis-Oriented VHDL Course

Published:01 June 2010Publication History
Skip Abstract Section

Abstract

This article proposes a VHDL language course that establishes a strong correlation between the language statements and their use in circuit synthesis. Two course modules are described: a basic module that contains the essential concepts of the language, sufficient for students to describe medium complexity circuits, followed by a second module with more complex language concepts. The benefits of correlated laboratory tasks which use simulation and synthesis tools are discussed. Evaluation content, student test scores, and student feedback are presented. Suggestions for improving and modifying the course are given.

References

  1. Amaral J. N., Berube P., and Mehta, P. 2005. Teaching digital design to computing science students in a single academic term. IEEE Trans. Educ. 48, 1, 127--132. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Ahamed, F. and Scarpino, F. A. 2005. An educational digital communications project using FPGAs to implement a BPSK detector. IEEE Trans. Educ. 48, 1, 191--197. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Armstrong, J. 1997. A multilevel approach to teaching hardware description languages. In Proceedings of the International Conference on Microelectronics Systems Education (MSE’97). 5--6. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Baliga, G. R., Robinson, J., and Weiss L. 2010. Revitalizing CS hardware curricula: Object oriented hardware design. J. Comput. Sciences Colleges 25, 3, Consortium for Computing Sciences in Colleges. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Calazans, N. L. V. and Moraes, F. G. 2001. Integrating the teaching of computer organization and architecture with digital hardware design early in undergraduate courses. IEEE Trans. Educ. 44, 2, 109--119. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Carlson, B. S. 2001. Principles vs. practices in undergraduate microelectronic systems education. In Proceedings of the International Conference on Microelectronic Systems Education (MSE’01). IEEE Computer Society. 22--23. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. d’Amore, R. 2005. VHDL Description and Synthesis of Digital Circuits (in Portuguese), LTC.Google ScholarGoogle Scholar
  8. Duckworth, R. J. 2005. Embedded system design with FPGAs using HDLs lessons learned and pitfalls to be avoided. In Proceedings of the IEEE International Conference on Microelectronic Systems Education (MSE’05). IEEE Computer Society. 35--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Edwards, S. A. 2005. The challenges of hardware synthesis from C-like languages. In Proceedings of the Conference on Design, Automation, and Test in Europe (DATE’05). Vol. 1. IEEE Computer Society. 66--67. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Engel, M. and Spinczyk, O. 2008. Aspects in hardware - What do they look like? In Proceedings of the AOSD Workshop on Aspects, Components, and Patterns for Infrastructure Software (ACP4IS’08). ACM. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Fitzpatrick, T. 2004. SystemVerilog for VHDL Users. In Proceedings of the Conference on Design, Automation, and Test in Europe (DATE’04). Vol. 1. IEEE Computer Society. 1334--1339. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Gschwind, M. 1994. Reprogrammable hardware for educational purposes. In Proceedings of the 25th SIGCSE Symposium on Computer Science Education (SIGCSE’94). Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Harcourt, E. 2005. Teaching Computer Organization and Architecture Using SystemC. J. Comput. Sciences Colleges 21, 2, Consortium for Computing Sciences in Colleges. 27--39. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Nestor, J. A. 2005. Teaching computer organization with HDLs: An incremental approach. In Proceedings of the IEEE International Conference on Microelectronic Systems Education (MSE’05). IEEE Computer Society. 77--88. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Maginot, S. 1992. Evaluation criteria of HDLs: VHDL compared to Verilog, UDL/I & M. In Proceedings of the Conference on European Design Automation (EURO-DAC’’92). IEEE Computer Society. 746--751. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Pedroni, V. A. 2003. Teaching design oriented VHDL. In Proceedings of the IEEE International Conference on Microelectronic Systems Education (MSE’03). IEEE Computer Society. 6--7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. SE2004 Joint IEEE-CS/ACM Task Force on Computing Curricula, Software Engineering. 2004. Curriculum Guidelines for Undergraduate Degree Programs in Software Engineering. 2004. http://sites.computer.org/ccse/SE2004Volume.pdf. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Sciuto, D., Martin, G., Rosenstiel, W., Swan, S., Ghenassia, F., Flake, P., and Srouji, J. 2004. SystemC and SystemVerilog: Where do they fit? Where are they going. In Proceedings of the Conference on Design, Automation, and Test in Europe (DATE’04). Vol. 1. IEEE Computer Society. 122--127. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Smith, D. J. 1996. VHDL & Verilog compared & contrasted - plus modeled example in VHDL, Verilog and C. In Proceedings of the 33rd Annual Design Automation Conference (DAC’96). ACM, 771--776. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Sklyarov, V. and Skliarova, I. 2005. Teaching reconfigurable systems: Methods, tools, tutorials, and projects. IEEE Trans. Educ. 48, 2, 290--300. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Vranesic, Z. and Brown, S. 2003. Use of HDLs in teaching of computer hardware courses. In Proceedings of the Workshop on Computer Architecture Education (WCAE’03). Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Wainer, G. A., Daicz, S., Simoni, L. F. S., and Wassermann, D. 2001. Using the Alfa-1 Simulated Processor for Educational Purposes. J. Educ. Res. Comput. 1, 4, 111--151. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. A Synthesis-Oriented VHDL Course

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Computing Education
        ACM Transactions on Computing Education  Volume 10, Issue 2
        June 2010
        95 pages
        EISSN:1946-6226
        DOI:10.1145/1789934
        Issue’s Table of Contents

        Copyright © 2010 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 June 2010
        • Accepted: 1 January 2010
        • Revised: 1 December 2009
        • Received: 1 February 2007
        Published in toce Volume 10, Issue 2

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed
      • Article Metrics

        • Downloads (Last 12 months)4
        • Downloads (Last 6 weeks)0

        Other Metrics

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader