skip to main content
10.1145/1837274.1837314acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

A multilayer nanophotonic interconnection network for on-chip many-core communications

Published:13 June 2010Publication History

ABSTRACT

Multi-core chips or chip multiprocessors (CMPs) are becoming the de facto architecture for scaling up performance and taking advantage of the increasing transistor count on the chip within reasonable power consumption levels. The projected increase in the number of cores in future CMPs is putting stringent demands on the design of the on-chip network (or network-on-chip, NOC). Nanophotonic interconnects have recently emerged as a viable alternate technology solution for the design of NOC because of their higher communication bandwidth, much reduced power consumption and wiring simplification. Several photonic NOC approaches have recently been proposed. A common feature of almost all of these approaches is the integration of the entire optical network onto a single silicon waveguide layer. However, keeping the entire network on a single layer has a serious implication for power losses and design complexity due to the large amount of waveguide crossings. In this paper, we propose MPNOC: a multilayer photonic networks-on-chip. MPNOC combines the recent advances in silicon photonics and three-dimensional (3D) stacking technology with architectural innovations in an integrated architecture that provides ample bandwidth, low latency, and energy efficient on-chip communications for future CMPs. Simulation results show MPNOC can achieve 81.92 TFLOP/s peak bandwidth and an energy savings up to 23% compared to other proposed planar photonic NOC architectures.

References

  1. http://www.itrs.net.Google ScholarGoogle Scholar
  2. J. Ahn and et al. Devices and architectures for photonic chip-scale integration. Applied Physics A: Materials Science and Processing, 95(4):989--997, June 2009.Google ScholarGoogle ScholarCross RefCross Ref
  3. J. Balfour and W. Dally. Design tradeoffs for tiled cmp on-chip networks. In ICS '06, pages 187--198, Cairns, Queensland, Australia, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. C. Batten and et al. Building manycore processor-to-dram networks with monolithic silicon photonics. In HOTI '08, pages 21--30, Stanford, CA, USA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. B. Black and et al. Die stacking (3d) microarchitecture. In MICRO 39, pages 469--479, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. G. Chen and et al. Predictions of cmos compatible on-chip optical interconnect. Integr. VLSI J., 40(4):434--446, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. J. Cianchetti and et al. Phastlane: a rapid transit optical routing network. In ISCA '09, pages 441--450, Austin, TX, USA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., San Francisco, CA, USA, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. R. K. Dokania and A. B. Apsel. Analysis of challenges for on-chip optical interconnects. In GLSVLSI '09, pages 275--280, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. H. Gu and et al. A low-power low-cost optical router for optical networks-on-chip in multiprocessor systems-on-chip. ISVLSI '09, 0:19--24, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Joshi and et al. Silicon-photonic clos networks for global on-chip communication. In NOCS '09, pages 124--133, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. Kahng and et al. Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration. In DATE, pages 423--428, April 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Kim and et al. A novel dimensionally-decomposed router for on-chip communication in 3d architectures. SIGARCH Comput. Archit. News, 35(2):138--149, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. N. Kirman and et al. Leveraging optical technology in future bus-based chip multiprocessors. In MICRO 39, pages 492--503, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. P. Koonath and B. Jalali. Multilayer 3-d photonics in silicon. Opt. Express, 15(20):12686--12691, 2007.Google ScholarGoogle ScholarCross RefCross Ref
  16. A. Krishnamoorthy and et al. Computer systems based on silicon photonic interconnects. Proceedings of the IEEE, 97(7):1337--1361, July 2009.Google ScholarGoogle ScholarCross RefCross Ref
  17. A. Kumar and et al. A 4.6tbits/s 3.6ghz single-cycle noc router with a novel switch allocator in 65nm cmos. In ICCD '07, October 2007.Google ScholarGoogle Scholar
  18. G. H. Loh. 3d-stacked memory architectures for multi-core processors. SIGARCH Comput. Archit. News, 36(3):453--464, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. Miller. Device requirements for optical interconnects to silicon chips. Proceedings of the IEEE, 97(7):1166--1185, July 2009.Google ScholarGoogle ScholarCross RefCross Ref
  20. Y. Pan and et al. Firefly: illuminating future network-on-chip with nanophotonics. In ISCA '09, pages 429--440, Austin, TX, USA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. D. Park and et al. Mira: A multi-layered on-chip interconnect router architecture. In ISCA '08, pages 251--261, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. Pasricha. Exploring serial vertical interconnects for 3d ics. In DAC '09, pages 581--586, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. K. Preston and et al. Deposited silicon high-speed integratedelectro-optic modulator. Opt. Express, 17(7):5118--5124, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  24. A. Shacham and et al. On the design of a photonic network-on-chip. In NOCS '07, pages 53--64, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. D. Vantrease and et al. Corona: System implications of emerging nanophotonic technology. In ISCA '08, pages 153--164, Beijing, China, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Y. Xu and et al. A low-radix and low-diameter 3d interconnection network design. In HPCA '09, pages 30--42, 2009.Google ScholarGoogle Scholar

Index Terms

  1. A multilayer nanophotonic interconnection network for on-chip many-core communications

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        DAC '10: Proceedings of the 47th Design Automation Conference
        June 2010
        1036 pages
        ISBN:9781450300025
        DOI:10.1145/1837274

        Copyright © 2010 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 13 June 2010

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article

        Acceptance Rates

        Overall Acceptance Rate1,770of5,499submissions,32%

        Upcoming Conference

        DAC '24
        61st ACM/IEEE Design Automation Conference
        June 23 - 27, 2024
        San Francisco , CA , USA

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader