skip to main content
10.1145/2155620.2155641acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Pack & Cap: adaptive DVFS and thread packing under power caps

Published:03 December 2011Publication History

ABSTRACT

The ability to cap peak power consumption is a desirable feature in modern data centers for energy budgeting, cost management, and efficient power delivery. Dynamic voltage and frequency scaling (DVFS) is a traditional control knob in the tradeoff between server power and performance. Multi-core processors and the parallel applications that take advantage of them introduce new possibilities for control, wherein workload threads are packed onto a variable number of cores and idle cores enter low-power sleep states. This paper proposes Pack & Cap, a control technique designed to make optimal DVFS and thread packing control decisions in order to maximize performance within a power budget. In order to capture the workload dependence of the performance-power Pareto frontier, a multinomial logistic regression (MLR) classifier is built using a large volume of performance counter, temperature, and power characterization data. When queried during runtime, the classifier is capable of accurately selecting the optimal operating point. We implement and validate this method on a real quad-core system running the PARSEC parallel benchmark suite. When varying the power budget during runtime, Pack & Cap meets power constraints 82% of the time even in the absence of a power measuring device. The addition of thread packing to DVFS as a control knob increases the range of feasible power constraints by an average of 21% when compared to DVFS alone and reduces workload energy consumption by an average of 51.6% compared to existing control techniques that achieve the same power range.

References

  1. Hp-intel dynamic power capping. http://www.hpintelco.net/pdf/solutions/SB_HP_Intel_Dynamic_Power_Capping.pdf, 2009.Google ScholarGoogle Scholar
  2. HP Power Capping and HP Dynamic Power Capping for ProLiant servers, Technology Brief, 2nd Edition. http://h20000.www2.hp.com/bc/docs/support/SupportManual/c01549455/c01549455.pdf, 2011.Google ScholarGoogle Scholar
  3. E. Alpaydin. Introduction to Machine Learning. The MIT Press, first edition, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. Azevedo, I. Issenin, R. Cornea, R. Gupta, N. Dutt, A. Veidenbaum, and A. Nicolau. Profile-based dynamic voltage scheduling using program checkpoints. In Proceedings of Design, Automation and Test in Europe Conference, pages 168--175, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. L. A. Barroso and U. Holzle. The Datacenter as a Computer. Morgan and Claypool Publishers, 2009.Google ScholarGoogle Scholar
  6. C. Bienia and K. Li. Parsec 2.0: A new benchmark suite for chip-multiprocessors. In Proceedings of the 5th Annual Workshop on Modeling, Benchmarking and Simulation, June 2009.Google ScholarGoogle Scholar
  7. J. M. Cebrian, J. L. Aragon, and S. Kaxiras. Power token balancing: Adapting cmps to power constraints for parallel multithreaded workloads. In Proceedings of International Parallel and Distributed Processing Symposium, pages 431--442, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. G. Dhiman and T. S. Rosing. Dynamic voltage frequency scaling for multi-tasking systems using online learning. In Proceedings of International Symposium on Low PowerElectronics and Design, pages 207--212, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M. Etinski, J. Corbalan, J. Labarta, and M. Valero. Optimizing job performance under a given power constraint in hpc centers. In Proceedings of the International Conference on Green Computing, pages 257--267, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. X. Fan, W.-D. Weber, and L. A. Barroso. Power provisioning for a warehouse-sized computer. In Proceedings of the International Symposium on Computer Architecture, pages 13--23, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. A. Gandhi, R. Das, J. O. Kephart, M. Harchol-balter, and C. Lefurgy. Power capping via forced idleness. In Proceedings of Workshop on Energy-Efficient Design, 2009.Google ScholarGoogle Scholar
  12. S. Herbert and D. Marculescu. Analysis of dynamic voltage/frequency scaling in chip-multiprocessors. In Proceedings of International Symposium on Low PowerElectronics and Design, pages 38--43, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An analysis of efficient multi-core global power management policies: Maximizing performance for a given power budget. In Proceedings of the International Symposium on Microarchitecture, pages 347--358, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. C. Isci, G. Contreras, and M. Martonosi. Live, runtime phase monitoring and prediction on real systems with application to dynamic power management. In Proceedings of the International Symposium on Microarchitecture, pages 359--370, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. W. Kim, M. Gupta, G.-Y. Wei, and D. Brooks. System level analysis of fast, per-core dvfs using on-chip switching regulators. In International Symposium on High Performance Computer Architecture, pages 123--134, 2008.Google ScholarGoogle Scholar
  16. V. Kontorinis, A. Shayan, D. M. Tullsen, and R. Kumar. Reducing peak power with a table-driven adaptive processor core. In In Proceedings of the International Symposium on Microarchitecture, pages 189--200, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. B. Lee and D. Brooks. Accurate and Efficient Regression Modeling for Microarchitectural Performance and Power Prediction. In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, pages 185--194, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. J. Li and J. Martinez. Dynamic power-performance adaptation of parallel computation on chip multiprocessors. In International Symposium on High-Performance Computer Architecture, pages 77--87, 2006.Google ScholarGoogle Scholar
  19. G. Magklis, M. L. Scott, G. Semeraro, D. H. Albonesi, and S. Dropsho. Profile-based dynamic voltage and frequency scaling for a multiple clock domain microprocessor. In International Symposium on Computer Architecture, pages 14--27, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. D. Meisner, B. T. Gold, and T. F. Wenisch. Powernap: eliminating server idle power. In Proceeding of the International conference on Architectural support for programming languages and operating systems, pages 205--216, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K. Meng, R. Joseph, and R. P. Dick. Multi-optimization power management for chip multiprocessors. In International Conference on Parallel Architectures and Compilation Techniques, pages 177--186, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. K. K. Rangan, G.-Y. Wei, and D. Brooks. Thread motion: fine-grained power management for multi-core systems. In International Symposium on Computer Architecture, pages 302--313, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. T. Samson. AMD brings power capping to new 45nm Opteron line. http://www.infoworld.com/d/green-it/amd-brings-power-capping-new-45nm-opteron-line-906, 2009.Google ScholarGoogle Scholar
  24. J. Sartori and R. Kumar. Distributed peak power management for many-core architectures. In Proceedings of the Conference on Design, Automation and Test in Europe, DATE '09, pages 1556--1559, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. D. Shin, J. Kim, and S. Lee. Low-energy intra-task voltage scheduling using static timing analysis. In Proceedings of the Design Automation Conference, pages 438--443, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. K. Singh, M. Bhadauria, and S. A. McKee. Real time power estimation and thread scheduling via performance counters. SIGARCH Computer Architecture News, 37:46--55, July 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. R. Teodorescu and J. Torrellas. Variation-aware application scheduling and power management for chip multiprocessors. In International Symposium on High-Performance Computer Architecture, pages 363--374, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Pack & Cap: adaptive DVFS and thread packing under power caps

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    MICRO-44: Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
    December 2011
    519 pages
    ISBN:9781450310536
    DOI:10.1145/2155620
    • Conference Chair:
    • Carlo Galuzzi,
    • General Chair:
    • Luigi Carro,
    • Program Chairs:
    • Andreas Moshovos,
    • Milos Prvulovic

    Copyright © 2011 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 3 December 2011

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article

    Acceptance Rates

    Overall Acceptance Rate484of2,242submissions,22%

    Upcoming Conference

    MICRO '24

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader