skip to main content
10.1145/2155620.2155668acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Formally enhanced runtime verification to ensure NoC functional correctness

Published:03 December 2011Publication History

ABSTRACT

As silicon technology scales, modern processors and embedded systems are rapidly shifting towards complex chip multi-processor (CMP) and system-on-chip (SoC) designs, comprising several processor cores and IP components communicating via a network-on-chip (NoC). As a side-effect of this trend, ensuring their correctness has become increasingly problematic. In particular, the network-on-chip often includes complex features and components to support the required communication bandwidth among the nodes in the system. In this landscape, it is no wonder that design errors in the NoC may go undetected and escape into the final silicon, with potential detrimental impact on the overall system.

In this work, we propose ForEVeR, a solution that complements the use of formal methods and runtime verification to ensure functional correctness in NoCs. Formal verification, due to its scalability limitations, is used to verify the smaller modules, such as individual router components. We complete the protection against escaped design errors with a runtime technique, a network-level error detection and recovery solution, which monitors the traffic in the NoC and protects it against escaped functional bugs that affect the communication paths in the network. To this end, ForEVeR augments the baseline NoC with a lightweight checker network that alerts destination nodes of incoming packets ahead of time. If a bug is detected, flagged by missed packet arrivals, a recovery mechanism delivers the in-flight data safely to the intended destination via the checker network. ForEVeR's experimental evaluation shows that it can recover from NoC design errors at only 4.8% area cost for an 8x8 mesh interconnect, with a recovery performance cost of less than 30K cycles per functional bug manifestation. Additionally, it incurs no performance overhead in the absence of errors.

References

  1. R. Abdel-Khalek, R. Parikh, A. DeOrio, and V. Bertacco. Functional correctness for CMP interconnects. In Proc. ICCD, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. K. V. Anjan and T. M. Pinkston. An efficient, fully adaptive deadlock recovery scheme: DISHA. In Proc. ISCA, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. T. M. Austin. DIVA: A reliable substrate for deep submicron microarchitecture design. In Proc. MICRO, 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. A. A. Bayazit and S. Malik. Complementary use of runtime validation and model checking. In Proc. ICCAD, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Bienia, S. Kumar, J. P. Singh, and K. Li. The PARSEC benchmark suite: Characterization and architectural implications. In Proc. PACT, October 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. D. Borrione, A. Helmy, L. Pierre, and J. Schmaltz. A generic model for formally verifying noc communication architectures: A case study. In Proc. NoCs, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. Boule, J.-S. Chenard, and Z. Zilic. Assertion checkers in verification, silicon debug and in-field diagnosis. In Proc. ISQED, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. W. Dally and B. Towles. Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. H. Foster, L. Loh, B. Rabii, and V. Singhal. Guidelines for creating a formal verification testplan. In Proc. DVCon, 2006.Google ScholarGoogle Scholar
  10. J. Kim and H. Kim. Router microarchitecture and scalability of ring topology in on-chip networks. In Proc. NoCArc, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. P. Lopez, J. M. Martinez, and J. Duato. A very efficient distributed deadlock detection mechanism for wormhole networks. In Proc. HPCA, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. M. Martínez, et al. Software-based deadlock recovery technique for true fully adaptive routing in wormhole networks. In Proc. ICPP, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Meixner, M. E. Bauer, and D. Sorin. Argus: Low-cost, comprehensive error detection in simple cores. In Proc. MICRO, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. S. Murali, T. Theocharides, N. Vijaykrishnan, M. Irwin, L. Benini, and G. De Micheli. Analysis of error recovery schemes for networks on chips. IEEE Design & Test, 22(5), 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. G. Nychis, C. Fallin, T. Moscibroda, and O. Mutlu. Next generation on-chip networks: what kind of congestion control do we need? In Proc. Hotnets, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C. R. Das. Exploring fault-tolerant network-on-chip architectures. In Proc. DSN, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. D. Starobinski, M. Karpovsky, and L. A. Zakrevski. Application of network calculus to general topologies using turn-prohibition. IEEE/ACM Trans. Networks, 11(3), 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Synopsys. Synopsys Magellan. http://www.synopsys.com.Google ScholarGoogle Scholar
  19. I. Wagner and V. Bertacco. Engineering trust with semantic guardians. In Proc. DATE, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  1. Formally enhanced runtime verification to ensure NoC functional correctness

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      MICRO-44: Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture
      December 2011
      519 pages
      ISBN:9781450310536
      DOI:10.1145/2155620
      • Conference Chair:
      • Carlo Galuzzi,
      • General Chair:
      • Luigi Carro,
      • Program Chairs:
      • Andreas Moshovos,
      • Milos Prvulovic

      Copyright © 2011 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 3 December 2011

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate484of2,242submissions,22%

      Upcoming Conference

      MICRO '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader