skip to main content
research-article

Statistical Timing and Power Optimization of Architecture and Device for FPGAs

Published:01 June 2012Publication History
Skip Abstract Section

Abstract

Process variation in nanometer technology is becoming an important issue for cutting-edge FPGAs with a multimillion gate capacity. Considering both die-to-die and within-die variations in effective channel length, threshold voltage, and gate oxide thickness, we first develop closed-form models of chip-level FPGA leakage and timing variations. Experiments show that the mean and standard deviation computed by our models are within 3% from those computed by Monte Carlo simulation. We also observe that the leakage and timing variations can be up to 3X and 1.9X, respectively. We then derive analytical yield models considering both leakage and timing variations, and use such models to evaluate the performance of FPGA device and architecture considering process variations. Compared to the baseline, which uses the VPR architecture and device setup based on the ITRS roadmap, device and architecture tuning improves leakage yield by 10.4%, timing yield by 5.7%, and leakage and timing combined yield by 9.4%. We also observe that LUT size of 4 gives the highest leakage yield, LUT size of 7 gives the highest timing yield, but LUT size of 5 achieves the maximum leakage and timing combined yield. To the best of our knowledge, this is the first in-depth study on FPGA architecture and device coevaluation considering process variation.

References

  1. Ahmed, E. and Rose, J. 2000. The effect of LUT and cluster size on deep-submicron fpga performance and density. In Proceedings of the ACM International Symposium on Field Programmable Gate Arrays. 3--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Anderson, J. H. and Najm, F. N. 2004. Low-Power programmable routing circuitry for fpgas. In Proceedings of the International Conference on Computer-Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Babaa, G., Azizi, N., and Najm, F. 2006. An adaptive fpga architecture with process variation compensation and reduced leakage. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Betz, V., Rose, J., and Marquardt, A. 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Borkar, S., Narendra, S., Tschanz, T., Keshavarzi, A., and De, V. 2003. Parameter variations and impact on circuits and microarchitecture. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Burd, T. and Brodersen, R. 2000. Design issues for dynamic voltage scaling. In Proceedings of the International Symposium on Low Power Electronics and Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chang, H., Zolotov, V., Visweswariah, C., and Naryan, S. 2005. Parameterized block-based statistical timing analysis with non-Gaussian parameters and nonlinear delay functions. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Cheng, L., Wong, P., Li, F., Lin, Y., and He, L. 2005. Device and architecture co-optimization for fpga power reduction. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Cheng, L., Wong, P., Li, F., Lin, Y., and He, L. 2007. Device and architecture co-optimization for fpga power reduction. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 26, 1211--1221. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Cheng, L., Lin, Y., and He, L. 2008. Tracebased framework for concurrent development of process and fpga architecture considering process variation and reliability. In Proceedings of the ACM International Symposium on Field Programmable Gate Arrays. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Cheng, L., Gong, F., Xu, W., Xiong, J., He, L., and Sarrafzadeh, M. 2011. Fourier series approximation for max operation in non-Gaussian and quadratic statistical static timing analysis. IEEE Trans. VLSI Syst. 19, 12.Google ScholarGoogle Scholar
  12. Degalahal, V. and Tuan, T. 2005. Methodology for high level estimation of fpga power consumption. In Proceedings of the Asia and South Pacific Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Dorrance, R., Ren, F., Toriyama, Y., Amin, A., Yang, K., and Markovic, D. 2012. Scalability and design space analysis of a 1T-1MTJ memory cell for stt-rams. IEEE Trans. Electron. Devices 59, 4, 878--887.Google ScholarGoogle ScholarCross RefCross Ref
  14. Drego, N., Chandrakasan, A., and Boning, D. 2009. Lack of spatial correlation in mosfet threshold voltage variation and implications for voltage scaling. IEEE Trans. Semiconduct. Manufact. 22, 2, 1475--1485.Google ScholarGoogle ScholarCross RefCross Ref
  15. Friedberg, P., Cao, Y., Cain, J., Wang, R., Rabaey, J., and Spanos, C. 2005. Modeling within-die spatial correlation effects for process design co-optimization. In Proceedings of the International Symposium on Low Power Electronics and Design.Google ScholarGoogle Scholar
  16. Gattiker, A., Nassif, S., Dinakar, R., and Long, C. 2001. Timing yield estimation from static timing analysis. In Proceedings of the International Symposium on Quality of Electronic Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Gayasen, A., Lee, K., Vijaykrishnan, N., Kandemir, M., Irwin, M. J., and Tuan, T. 2004a. A dual-vdd low power fpga architecture. In Proceedings of the International Conference on Field Programmable Logic and its Application.Google ScholarGoogle Scholar
  18. Gayasen, A., Tsai, Y., Vijaykrishnan, N., Kandemir, M., Irwin, M. J., and Tuan, T. 2004b. Reducing leakage energy in fpgas using region-constrained placement. In Proceedings of the ACM International Symposium on Field Programmable Gate Arrays. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Gupta, P., Kahng, A., Sharma, P., and Sylvester, D. 2006. Gate-Length biasing for runtime-leakage control. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 25, 1475--1485. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. International Technology Roadmap for Semiconductors. 2002. http://public.itrs.net/.Google ScholarGoogle Scholar
  21. International Technology Roadmap for Semiconductors. 2005. A user’s guide to MASTAR4. http://www.itrs.net/models.html.Google ScholarGoogle Scholar
  22. Le, J., Li, X., and Pileggi, L. T. 2004. Stac: Statistical timing analysis with correlation. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. Lemieux, G. G. and Brown, S. D. 1993. A detailed router for allocating wire segments in field programmable gate arrays. In Proceedings of the ACM Physical Design Workshop.Google ScholarGoogle Scholar
  24. Li, F. and He, L. 2005. Power modeling and characteristics of field programmable gate arrays. IEEE Trans. Comput.-Aided Des. Integr. Circ. Syst. 24, 11, 1712--1724. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Li, F., Chen, D., He, L., and Cong, J. 2003. Architecture evaluation for power-efficient fpgas. In Proceedings of the ACM International Symposium on Field Programmable Gate Arrays. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Li, F., Lin, Y., and He, L. 2004a. Vdd programmability to reduce fpga interconnect power. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Li, F., Lin, Y., and He, L. 2004b. FPGA power reduction using configurable dual-vdd. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Li, F., Lin, Y., He, L., and Cong, J. 2004c. Low-Power fpga using pre-defined dual-vdd/dual-vt fabrics. In Proceedings of the ACM International Symposium on Field Programmable Gate Arrays. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Lin, Y. and He, L. 2007. Device and architecture concurrent optimization for fpga transient soft error rate. In Proceedings of the International Conference on Computer-Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Lin, Y., Li, F., and He, L. 2005a. Routing track duplication with fine-grained power-gating for fpga interconnect power reduction. In Proceedings of the Asia and South Pacific Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Lin, Y., Li, F., and He, L. 2005b. Circuits and architectures for vdd programmable fpgas. In Proceedings of the ACM International Symposium on Field Programmable Gate Arrays.Google ScholarGoogle Scholar
  32. Mani, M., Devgan, A., and Orshansky, M. 2005. An efficient algorithm for statistical minimization of total power under timing yield constraints. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Najm, F. N. and Menezes, N. 2004. Statistical timing analysis based on a timing yield model. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Orshansky, M. and Bandyopadhyay, A. 2004. Fast statistical timing analysis with arbitrary delay correlations. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Poon, K., Yan, A., and Wilton, S. 2002. A flexible power model for fpgas. In Proceedings of the 12th International Conference on Field Programmable Logic and Applications. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. Raj, S., Vrudhula, S. B., and Wang, J. 2004. A methodology to improve timing yield in the presence of process variations. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. Rao, R., Devgan, A., Blaauw, D., and Sylvester, D. 2004. Parametric yield estimation considering leakage variability. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  38. Ren, F. and Markovic, D. 2010. True energy-performance analysis of the mtj-based logic-in-memory architecture (1-bit full adder). IEEE Trans. Electron. Devices 57, 5, 1023--1028.Google ScholarGoogle ScholarCross RefCross Ref
  39. Rose, J., Francis, R., Lewis, D., and Chow, P. 1990. Architecture of field programmable gate arrays: The effect of logic functionality on area efficiency. IEEE J. Solid State Circ. 25, 5, 1217--1225.Google ScholarGoogle ScholarCross RefCross Ref
  40. Singh, S., Rose, J., Chow, P., and Lewis, D. 1992. The effect of logic block architecture on fpga performance. IEEE J. Solid State Circ. 27, 3, 281--287.Google ScholarGoogle ScholarCross RefCross Ref
  41. Srivastava, A., Shah, S. S., Agarwal, K. B., Sylvester, D. M., Blaauw, D., and Director, S. 2005. Impact of process variations on power. In Proceedings of the Design Automation Conference.Google ScholarGoogle Scholar
  42. Tuan, T. and Lai, B. 2003. Leakage power analysis of a 90nm fpga. In Proceedings of the IEEE Custom Integrated Circuits Conference.Google ScholarGoogle Scholar
  43. Wang, A., Chandrakasan, A., and Kosonocky, S. 2002. Optimal supply and threshold scaling for subthreshold cmos circuits. In Proceedings of the IEEE Computer Society Annual Symposium on VLSI. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Wong, H., Cheng, L., Lin, Y., and He, L. 2005. FPGA device and architecture evaluation considering process variations. In Proceedings of the International Conference on Computer-Aided Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. Xilinx Corporation. 2002. Virtex-II 1.5v platform fpga complete data sheet. http://www.mtl.mit.edu/Courses/6.111/labkit/datasheets/virtex2datasheet.pdf.Google ScholarGoogle Scholar
  46. Xu, W., Wang, J., Hu, Y., Lee, J.-Y., Gong, F., He, L., and Sarrafzadeh, M. 2011. In-Place fpga retiming for mitigation of variational single-event transient faults. IEEE Trans. Circ. Syst. 58, 6, 1372--1381.Google ScholarGoogle Scholar
  47. Zhan, Y., Strojwas, A. J., Li, X., Pileggi, L. T., Newmark, D., and Sharma, M. 2005. Correlation-Aware statistical timing analysis with non-Gaussian distributions. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. Zhang, L., Chen, W., Hu, Y., Gubner, J. A., and Cheng, C. C.-P. 2005. Correlation-Preserved non-Gaussian statistical timing analysis with quadratic timing model. In Proceedings of the Design Automation Conference. Google ScholarGoogle ScholarDigital LibraryDigital Library
  49. Zhang, S., Waso, V., and Banerjee, K. 2004. A probabilistic framework to estimate full-chip subthreshold leakage power distribution considering within-die and die-to-die p-t-v variations. In Proceedings of the International Symposium on Low Power Electronics and Design. Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. Zhao, W. and Cao, Y. 2007. Rigorous extraction of process variations for 65nm cmos design. In Proceedings of the European Solid-State Circuits Conference.Google ScholarGoogle Scholar
  51. Zhao, W., Liu, F., Agarwal, K., Acharyya, D., Nassif, S., and K. Nowka, Y. C. 2007. Rigorous extraction of process variations for 65nm cmos design. In Proceedings of the Solid State Device Research Conference.Google ScholarGoogle Scholar

Index Terms

  1. Statistical Timing and Power Optimization of Architecture and Device for FPGAs

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Reconfigurable Technology and Systems
      ACM Transactions on Reconfigurable Technology and Systems  Volume 5, Issue 2
      June 2012
      100 pages
      ISSN:1936-7406
      EISSN:1936-7414
      DOI:10.1145/2209285
      Issue’s Table of Contents

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 June 2012
      • Accepted: 1 January 2012
      • Revised: 1 November 2011
      • Received: 1 July 2011
      Published in trets Volume 5, Issue 2

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed
    • Article Metrics

      • Downloads (Last 12 months)3
      • Downloads (Last 6 weeks)0

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader