skip to main content
10.1145/2212908.2212915acmconferencesArticle/Chapter ViewAbstractPublication PagescfConference Proceedingsconference-collections
research-article

A limits study of benefits from nanostore-based future data-centric system architectures

Published:15 May 2012Publication History

ABSTRACT

The adoption of non-volatile memories (NVMs) in system architecture and the growth in data-centric workloads offer exciting opportunities for new designs. In this paper, we examine the potential and limit of designs that move compute in close proximity to NVM-based data stores. To address the challenges in evaluating such system architectures for distributed systems, we develop and validate a new methodology for large-scale data-centric workloads. We then study "nanostores" as an example design that constructs distributed systems from building blocks with 3D-stacked compute and NVM layers on the same chip, replacing both traditional storage and memory with NVM. Our limits study demonstrates significant potential of this approach (3-162X improvement in energy delay product) over 2015 baselines, particularly for IO-intensive workloads. We also discuss and quantify the impact of network bandwidth, software scalability, and power density, and design tradeoffs for future NVM-based data-centric architectures.

References

  1. M. Mayer. The physics of data. Talk at Xerox PARC, 2009.Google ScholarGoogle Scholar
  2. P. Ranganathan, From Microprocessors to Nanostores: Rethinking Data-Centric Systems. IEEE Computer Vol. 44(1), 2011, pp. 39--48. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. COTSon: Infrastructure for system-level simulation. MICRO Tutorial, 2008.Google ScholarGoogle Scholar
  4. ITRS roadmap. http://www.itrs.net/, 2009.Google ScholarGoogle Scholar
  5. D. Andersen, et al. FAWN: A fast array of wimpy nodes. SOSP, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. Caulfield, et a;. Gordon: an improved architecture for data-intensive applications. IEEE Micro, 30(1), 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. H. Volos, A. Tack, et al. Mnemosyne: Lightweight Persistent Memory. ASPLOS, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. A. Cockcroft. Millicomputing: The future in your pocket and your datacenter. USENIX invited talk, 2008.Google ScholarGoogle Scholar
  9. J. Dean and S. Ghemawat. MapReduce: Simplified data processing on large clusters. OSDI, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. J. Ousterhout et. al. The case for RAMCloud. Communications of the ACM, 54(7):121--130, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. T. Kgil et al. PicoServer: Using 3D Stacking Technology To Enable A Compact Energy Efficient Chip Multiprocessor. ASPLOS, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. M. Gokhale, B. Holmes, and K. Iobst. Processing in memory: the terasys massively parallel PIM array. Computer, 28(4):23--31, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. T. Kgil and T. Mudge. FlashCache: a NAND Flash memory file cache for low power web servers. CASES, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. T. Kgil, D. Roberts, and T. Mudge. Improving nand Flash based disk caches. ISCA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. B. C. Lee, et al. Architecting phase change memory as a scalable dram alternative. ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. D. Lewis and H. Lee. Architectural evaluation of 3D stacked RRAM caches. IEEE 3D System Integration Conf., 2009.Google ScholarGoogle Scholar
  17. S. Li, et al. McPAT: An integrated power, area and timing modeling framework for multicore and manycore architectures. MICRO, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. K. Lim, et al. Understanding and designing new server architectures for emerging warehouse-computing environments. ISCA, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. D. Patterson, et al.A case for intelligent RAM. IEEE Micro, 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. K. Qureshi, et al. Enhancing lifetime and security of pcm-based main memory with start-gap wear leveling. MICRO-42, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. M. Qureshi, et al. Scalable high performance main memory system using phase-change memory technology. ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. V. Reddi, et al. Web Search Using Small Cores: Quantifying the Price of Efficiency. ISCA, 2010.Google ScholarGoogle Scholar
  23. E. Riedel, et al. Active disks for large-scale data processing. IEEE Computer, vol 34, , 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. Rivoire, et al. JouleSort: a balanced energy-efficiency benchmark. SIGMOD, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. P. Clark, et al. Processing Petabytes per Second with the ATLAS Experiment at the LHC in CERN. GPU Tech. Conf., 2010.Google ScholarGoogle Scholar
  26. Zichen Xu, et al. Exploring power-performance tradeoffs in database systems. ICDE, 2010.Google ScholarGoogle Scholar
  27. Fan Yang, et al. Formalizing mapreduce with CSP. ECBS, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. B. Zhai, et al. Energy efficient near-threshold chip multi-processing. ISLPED, 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. W. Zhang and T. Li. Exploring phase change memory and 3D die-stacking for power/thermal friendly, fast and durable memory architectures. PACT, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. P. Zhou, et al. A durable and energy efficient main memory using phase change memory technology. ISCA, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. Y. Zhou et al. Large-scale Parallel Collaborative Filtering for the Netflix Prize. Algo. Aspects in Information and Management, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. D. Abts et al. Energy proportional datacenter networks. ISCA, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. J. Condit et al, Better I/O through byte-addressable, persistent memory. SOSP, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. S. Venkataraman et al. Consistent and Durable Data Structures for Non-Volatile Byte-Addressable Memory. FAST, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. J. Coburn et al. NV-Heaps: Making Persistent Objects Fast and Safe with Next-Generation, Non-Volatile Memories. ASPLOS, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. D. Stukov, G. Snider, D. Steward, and R. Williams. The missing memristor found. Nature, volume 453, pages 80--83, 2008.Google ScholarGoogle Scholar

Index Terms

  1. A limits study of benefits from nanostore-based future data-centric system architectures

              Recommendations

              Comments

              Login options

              Check if you have access through your login credentials or your institution to get full access on this article.

              Sign in
              • Published in

                cover image ACM Conferences
                CF '12: Proceedings of the 9th conference on Computing Frontiers
                May 2012
                320 pages
                ISBN:9781450312158
                DOI:10.1145/2212908

                Copyright © 2012 ACM

                Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

                Publisher

                Association for Computing Machinery

                New York, NY, United States

                Publication History

                • Published: 15 May 2012

                Permissions

                Request permissions about this article.

                Request Permissions

                Check for updates

                Qualifiers

                • research-article

                Acceptance Rates

                Overall Acceptance Rate240of680submissions,35%

                Upcoming Conference

                CF '24

              PDF Format

              View or Download as a PDF file.

              PDF

              eReader

              View online with eReader.

              eReader