skip to main content
research-article

On the Impact of Manufacturing Process Variations on the Lifetime of Sensor Networks

Published:01 July 2012Publication History
Skip Abstract Section

Abstract

The lifetime of individual nodes in a sensor network depends strongly on the leakage power of the nodes in idle state. With technology scaling, variability in leakage power dissipation of sensor nodes will cause increased variability in their lifetimes. In this article, we analyze how the lifetime variations of sensor nodes affect the performance of the sensor network as a whole. We demonstrate the use of the proposed framework to explore deployment cost versus performance trade-offs for sensor networks. Results indicate that up to 37% improvement in the critical lifetime of a sensor network can be obtained with a 20% increase in deployment cost.

References

  1. Borkar, S., Karnik, T., Narendra, S., Tschanz, J., Keshavarzi, A., and De, V. 2003. Parameter variations and impact on circuits and microarchitecture. In Proceedings of the 40th Annual Design Automation Conference. 338--342. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Chakrabarti, D., Leskovec, J., Faloutsos, C., Madden, S., Guestrin, C., and Faloutsos, M. 2007. Information survival threshold in sensor and p2p networks. In Proceedings of the 26th IEEE International Conference on Computer Communications. 1316--1324.Google ScholarGoogle Scholar
  3. Dutta, P., Grimmer, M., Arora, A., Bibyk, S., and Culler, D. 2005. Design of a wireless sensor network platform for detecting rare, random, and ephemeral events. In Proceedings of the 4th International Symposium on Information Processing in Sensor Networks. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Gilks, W., Richardson, S., and Spiegelhalter, D. 1996. Markov Chain Monte Carlo in Practice. Chapman & Hall/CRC, London.Google ScholarGoogle Scholar
  5. Gupta, P. and Kumar, P. 1998. Critical power for asymptotic connectivity in wireless networks. Stochastic Analysis, Control, Optimization and Applications: A Volume in Honor of WH Fleming 3, 20, 547--566.Google ScholarGoogle Scholar
  6. Hanson, S., Zhai, B., Blaauw, D., Sylvester, D., Bryant, A., and Wang, X. 2006. Energy optimality and variability in subthreshold design. In Proceedings of the International Symposium on Low Power Electronics and Design. 363--365. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Hempstead, M., Wei, G., and Brooks, D. 2006. Architecture and circuit techniques for low-throughput, energy-constrained systems across technology generations. In Proceedings of the International Conference on Compilers, Architecture and Synthesis for Embedded Systems. 368--378. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Li, X., Le, J., and Pileggi, L. 2006. Projection-based statistical analysis of full-chip leakage power with non-log-normal distributions. In Proceedings of the 43rd Annual Design Automation Conference. 103--108. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Natarajan, S., Breuer, M., and Gupta, S. 1998. Process variations and their impact on circuit operation. dft, 73. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Shah, R. and Rabaey, J. 2002. Energy aware routing for low energy ad hoc sensor networks. In Proceedings of the IEEE Wireless Communications and Networking Conference, 2002. 350--355.Google ScholarGoogle Scholar
  11. Shnayder, V., Hempstead, M., Chen, B., Allen, G., and Welsh, M. 2004a. Simulating the power consumption of large-scale sensor network applications. In Proceedings of the 2nd International Conference on Embedded Networked Sensor Systems. 188--200. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Shnayder, V., Hempstead, M., Chen, B., Allen, G., and Welsh, M. 2004b. Simulating the power consumption of large-scale sensor network applications. In Proceedings of the 2nd International Conference on Embedded Networked Sensor Systems. ACM, New York, NY, 188--200. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Stanley-Marbell, P. and Marculescu, D. 2007. Sunflower: Full-system, embedded microarchitecture evaluation. In Proceedings of the 2nd International Conference on High Performance Embedded Architectures and Compilers. 168--182. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Tschanz, J., Kao, J., Narendra, S., Nair, R., Antoniadis, D., Chandrakasan, A., and De, V. 2002. Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage. IEEE J. Solid-State Circuits 37, 11.Google ScholarGoogle ScholarCross RefCross Ref
  15. Yi, C.-W., Wan, P.-J., Li, X.-Y., and Frieder, O. 2006. Asymptotic distribution of the number of isolated nodes in wireless ad hoc networks with Bernoulli nodes. IEEE Trans. Commun. 54, 20, 510--517.Google ScholarGoogle ScholarCross RefCross Ref
  16. Zamora, N. and Marculescu, R. 2007. Coordinated distributed power management with video sensor networks: analysis, simulation, and prototyping. In Proceedings of the 1st ACM/IEEE International Conference on Distributed Smart Cameras (ICDSC’07). 4--11.Google ScholarGoogle Scholar
  17. Zamora, N., Kao, J., and Marculescu, R. 2007. Distributed power-management techniques for wireless network video systems. In Proceedings of the Conference on Design, Automation and Test in Europe. 564--569. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. On the Impact of Manufacturing Process Variations on the Lifetime of Sensor Networks

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 11, Issue 2
      July 2012
      342 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/2220336
      Issue’s Table of Contents

      Copyright © 2012 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 1 July 2012
      • Accepted: 1 May 2010
      • Revised: 1 April 2010
      • Received: 1 May 2009
      Published in tecs Volume 11, Issue 2

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed
    • Article Metrics

      • Downloads (Last 12 months)5
      • Downloads (Last 6 weeks)0

      Other Metrics

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader