skip to main content
10.1145/2401716.2401720acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
research-article

Surface wave communication system for on-chip and off-chip interconnects

Published:01 December 2012Publication History

ABSTRACT

Network-on-chip (NoC) is a communication paradigm that has emerged to tackle different on-chip challenges and satisfy different demands in terms of high performance and economical interconnect implementation. However, merely metal based interconnect pursuit offers limited scalability with the relentless technology scaling. To meet the scalability demand, this paper proposes a new hybrid interconnect fabric empowered by metal interconnect NoC and Zenneck surface Waves Interconnect (SWI) technology. Our initial results show a considerable power reduction (9 to 17%) and performance improvement (35%) of the proposed hybrid architecture compared to regular NoC. These results are achieved over relatively small hardware and area overhead (2.29% of die). This paper explores promising potentials of SWI for future System-on-Chip (SoC) global communication.

References

  1. Semiconductor Industry Association, "ITRS: International Technology Roadmap for Semiconductors." http://www.itrs.net/reports.html {online}, 2009.Google ScholarGoogle Scholar
  2. R. Ho, K. Mai, and M. Horowitz, "The future of wires," Proceedings of the IEEE, vol. 89, pp. 490--504, apr 2001.Google ScholarGoogle ScholarCross RefCross Ref
  3. A. Ganguly and et al., "Scalable hybrid wireless network-on-chip architectures for multicore systems," Computers, IEEE Transactions on, vol. 60, pp. 1485--1502, oct. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Chang and et al., "Cmp network-on-chip overlaid with multi-band rf-interconnect," in High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on, pp. 191--202, feb. 2008.Google ScholarGoogle Scholar
  5. M.-C. Chang and et al., "Power reduction of cmp communication networks via rf-interconnects," in Microarchitecture, 2008. MICRO-41. 2008 41st IEEE/ACM International Symposium on, pp. 376--387, nov. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. A. Carpenter and et al., "Using transmission lines for global on-chip communication," Emerging and Selected Topics in Circuits and Systems, IEEE Journal on, vol. 2, pp. 183--193, june 2012.Google ScholarGoogle ScholarCross RefCross Ref
  7. N. Kirman and et al., "Leveraging optical technology in future bus-based chip multiprocessors," in Microarchitecture, 2006. MICRO-39. 39th Annual IEEE/ACM International Symposium on, pp. 492--503, dec. 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. D. Miller, "Device requirements for optical interconnects to silicon chips," Proceedings of the IEEE, vol. 97, pp. 1166--1185, july 2009.Google ScholarGoogle ScholarCross RefCross Ref
  9. L. Schares and et al., "Terabus: Terabit/second-class card-level optical interconnect technologies," Selected Topics in Quantum Electronics, IEEE Journal of, vol. 12, pp. 1032--1044, sept.-oct. 2006.Google ScholarGoogle ScholarCross RefCross Ref
  10. V. Pavlidis and E. Friedman, "3-d topologies for networks-on-chip," in SOC Conference, 2006 IEEE International, pp. 285--288, sept. 2006.Google ScholarGoogle Scholar
  11. W. Davis and et al., "Demystifying 3d ics: the pros and cons of going vertical," Design Test of Computers, IEEE, vol. 22, pp. 498--510, nov.-dec. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. A. Ganguly and et al., "Scalable hybrid wireless network-on-chip architectures for multicore systems," Computers, IEEE Transactions on, vol. 60, pp. 1485--1502, oct. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. J. Hendry, "Isolation of the zenneck surface wave," in Antennas and Propagation Conference (LAPC), 2010 Loughborough, pp. 613--616, nov. 2010.Google ScholarGoogle Scholar
  14. K. O and et al., "The feasibility of on-chip interconnection using antennas," in Computer-Aided Design, 2005. ICCAD-2005. IEEE/ACM International Conference on, pp. 979--984, nov. 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. Turner, M. Jessup, and K.-F. Tong, "A novel technique enabling the realisation of 60 ghz body area networks," in Wearable and implantable Body Sensor Networks (BSN), 2012 Ninth International Conference on, pp. 58--62, may 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. M. Chang and et al., "Rf/wireless interconnect for inter- and intra-chip communications," Proceedings of the IEEE, vol. 89, pp. 456--466, apr 2001.Google ScholarGoogle ScholarCross RefCross Ref
  17. K. Sekar and et al., "Dynamically configurable bus topologies for high-performance on-chip communication," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 16, pp. 1413--1426, oct. 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. W. Dally and B. Towles, Principles and Practices of Interconnection Networks. Morgan Kaufmann, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. P. Salihundam and et al., "A 2 tb/s 6 4 mesh network for a single-chip cloud computer with dvfs in 45 nm cmos," Solid-State Circuits, IEEE Journal of, vol. 46, pp. 757--766, april 2011.Google ScholarGoogle ScholarCross RefCross Ref
  20. M. Badaroglu and et al., "Modeling and experimental verification of substrate noise generation in a 220-kgates wlan system-on-chip with multiple supplies," Solid-State Circuits, IEEE Journal of, vol. 38, pp. 1250--1260, july 2003.Google ScholarGoogle ScholarCross RefCross Ref
  21. M. Chang and et al., "Cmp network-on-chip overlaid with multi-band rf-interconnect," in High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on, pp. 191--202, feb. 2008.Google ScholarGoogle Scholar
  22. M.-C. F. Chang and et al., "Rf interconnects for communications on-chip," in Proceedings of the 2008 international symposium on Physical design, ISPD '08, (New York, NY, USA), pp. 78--83, ACM, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Srinivasan, S. Adve, P. Bose, and J. Rivers, "The impact of technology scaling on lifetime reliability," in Dependable Systems and Networks, 2004 International Conference on, pp. 177--186, june-1 july 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. A. Kahng and et al., "Orion 2.0: A fast and accurate noc power and area model for early-stage design space exploration," in Design, Automation Test in Europe Conference Exhibition, 2009. DATE '09., pp. 423--428, april 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. A. B. Kahng and et al., "Orion 2.0: A power-area simulator for interconnection networks," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. PP, no. 99, pp. 1--5, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. F. Fazzino, M. Palesi, and D. Patti, "Noxim: Network-on-chip simulator."Google ScholarGoogle Scholar
  27. G.-M. Chiu, "The odd-even turn model for adaptive routing," Parallel and Distributed Systems, IEEE Transactions on, vol. 11, pp. 729--738, jul 2000. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. R. Al-Dujaily and et al., "Embedded transitive closure network for runtime deadlock detection in networks-on-chip," Parallel and Distributed Systems, IEEE Trans. on, vol. 23, pp. 1205--1215, july 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. N. Dahir and et al., "Minimizing power supply noise through harmonic mappings in networks-on-chip," in Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis, CODES+ISSS '12, (New York, NY, USA), pp. 113--122, ACM, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Surface wave communication system for on-chip and off-chip interconnects

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Other conferences
          NoCArc '12: Proceedings of the Fifth International Workshop on Network on Chip Architectures
          December 2012
          79 pages
          ISBN:9781450315401
          DOI:10.1145/2401716

          Copyright © 2012 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 1 December 2012

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate46of122submissions,38%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader