skip to main content
article
Free Access

Strategic directions in computer architecture

Published:01 December 1996Publication History
First page image

References

  1. FLYNN, M. J. 1995. Computer Architecture. Jones and Bartlett, Boston, MA.]] Google ScholarGoogle Scholar
  2. HENNESSY, J., AND PATTERSON, D. 1996. Computer Architecture: A Quantitative Approach (2nd ed.), Morgan-Kaufmann, San Mateo, CA.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. NSF 1996. NSF Workshop on Critical Issues in Computer Architecture Research, Sponsored by the Microelectronic Systems Architecture Program of the Division of Microelectronic Information Processing Systems at NSF (May 21). http://www.cise.nsf.gov/mips/MSAWorkshop96/ index2.html]]Google ScholarGoogle Scholar
  4. AGERWALA, T., AND COCKE, J. 1987. High performance reduced instruction set processors. IBM Tech. Rep. (March).]]Google ScholarGoogle Scholar
  5. AMDAHL, G. M., BLAAUW, G. A., AND BROOKS, F. P., JR. 1964. Architecture of the IBM System 360. IBM J. Res. Dev. 8, 2 (April), 87-101.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. ATANASOFF, J.V. 1940. Computing machine for the solution of large systems of linear equations. Internal Rep., Iowa State University, Ames.]]Google ScholarGoogle Scholar
  7. BHANDARKAR, D., AND CLARK, D. W. 1991. Performance from architecture: Comparing a RISC and a CISC with similar hardware organizations. In Proceedings of the Fourth Conference on Architectural Support for Programming Languages and Operating Systems, (Palo Alto, CA, April) IEEE/ACM, 310-319.]] Google ScholarGoogle Scholar
  8. BELL, G., CADY, R., MCFARLAND, H., DELAGI, B., O'LAUGHLIN, J., NOONAN, R., AND WULF, W. 1970. A new architecture for mini-computers: The DEC PDP-11. In Proceedings of AFIPS SJCC, 657-675.]]Google ScholarGoogle Scholar
  9. BUCHOLTZ, W. 1962. Planning a Computer Systern: Project Stretch. McGraw-Hill, New York.]] Google ScholarGoogle Scholar
  10. BURKS, A. W., GOLDSTINE, H. H., AND VON NEU- MANN, J. 1946. Preliminary discussion of the logical design of an electronic computing instrument. Rep. to the US Army Ordnance Department, p. 1; also appears in Papers of John von Neumann, W. Aspray and A. Burks, Eds., MIT Press, Cambridge, MA, and Tomash Publishers, Los Angeles, 1987, 97-146.]]Google ScholarGoogle Scholar
  11. DITZEL, D. R., AND CLARK, D.W. 1980. Retrospective on high-level language computer architecture. In Proceedings of the Seventh Annual Symposium on Computer Architecture (La Baule, France, June), 97-104.]] Google ScholarGoogle Scholar
  12. GOLDSTINE, H. H. 1972. The Computer: From Pascal to von Neumann. Princeton University Press, Princeton, NJ.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. HAUCK, E. A., AND DENT, B.A. 1968. Burroughs B6500-B7500 stack mechanism. In Proceedings of AFIPS SJCC, 245-251.]]Google ScholarGoogle Scholar
  14. HENNESSY, J. 1984. VLSI processor architecture. IEEE Trans. Comput. C-33, 11 (Dec.), 1221-1246.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. MENABREA, L.F. 1842. Sketch of The Analytical Engine Invented by Charles Babbage. Bibiotheque Universelle de Geneve (Oct.).]]Google ScholarGoogle Scholar
  16. PATTERSON, D. 1985. Reduced instruction set computers. Commun. ACM 28, 1 (Jan.), 8-21.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. THORNTON, J. E. 1964. Parallel operation in Control Data 6600. In Proceedings of the AFIPS Fall Joint Computer Conference 26, part 2, 33-40.]]Google ScholarGoogle Scholar
  18. TOUMA, W.R. 1993. The Dynamics of the Computer Industry: Modeling the Supply of Workstations and Their Components. Kluwer Academic, Boston.]] Google ScholarGoogle Scholar
  19. UPTON, M., HUFF, T., MUDGE, T., AND BROWN, R. 1994. Resource allocation in a high clock rate microprocessor. In Sixth International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS-VI), 98-109.]] Google ScholarGoogle Scholar
  20. WILKES, M. V. 1985. Memoirs of a Computer Pioneer. MIT Press, Cambridge, MA.]] Google ScholarGoogle Scholar
  21. WILKES, M. V. 1995. Computing Perspectives. Morgan-Kaufmann, San Francisco.]] Google ScholarGoogle Scholar
  22. DAVIDSON, E. S., THOMAS, A. T., SHAR, L. E., AND PATEL, J. H. 1975. Effective control for pipelined processors. In COMPCON, (San Francisco, March), IEEE 181-184.]]Google ScholarGoogle Scholar
  23. ELLIS, J. R. 1986. Bulldog: A Compiler for VLIW Architectures. MIT Press, Cambridge, MA.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. FISHER, g.A. 1983. Very long instruction word architectures and ELI-512. In Proceedings of the Tenth Symposium on Computer Architecture (Stockholm, June), 140-150.]] Google ScholarGoogle Scholar
  25. GOLDEN, M., AND MUDGE, T. 1996. A comparison of two common pipeline structures. In Institution of Electrical Engineers Proceedings - E, Computers and Digital Techniques.]]Google ScholarGoogle Scholar
  26. Hwu, W.-M., AND PATT, Y. 1986. HPSm, a high performance restricted data flow architecture having minimum functionality. In Proceedings of the Thirteenth Symposium on Computer Architecture (Tokyo, June), 297-307.]] Google ScholarGoogle Scholar
  27. JOHNSON, M. 1990. Superscalar Microprocessor Design, Prentice-Hall, Englewood Cliffs, NJ.]]Google ScholarGoogle Scholar
  28. JouPPI, N. P., AND WALL, D.W. 1989. Available instruction-level parallelism for superscalar and superpipelined processors. In Proceedings of the Third Conference on Architectural Support for Programming Languages and Operating Systems, (Boston, April), IEEE/ACM 272- 282.]] Google ScholarGoogle Scholar
  29. KOGGE, P. M. 1981. The Architecture of Pipelined Computers. McGraw-Hill, New York.]] Google ScholarGoogle Scholar
  30. SMITH, J.E. 1981. A study of branch prediction strategies. In Proceedings of the Eighth Symposium on Computer Architecture (Minneapolis, May), 135-148.]] Google ScholarGoogle Scholar
  31. SMITH, M. D., HOROWITZ, M., AND LAM, M. S. 1992. Efficient superscalar performance through boosting. In Proceedings of the Fifth Conference on Architectural Support for Programming Languages and Operating Systems (Boston, Oct.), IEEE/ACM, 248-259.]] Google ScholarGoogle Scholar
  32. TJADEN, G. S., AND FLYNN, M. J. 1970. Detection and parallel execution of independent instructions. IEEE Trans. Comput. C-19, 10 (Oct.), 889-895.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. TOMASULO, R. M. 1967. An efficient algorithm for exploiting multiple arithmetic units. IBM J. Res. Dev. 11, 1 (Jan.), 25-33.]]Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. ANDERSON, T. E., CULLER, D. E., AND PATTERSON, D. 1995. A case for NOW (networks of workstations). IEEE Micro 15, 1 (Feb.), 54- 64.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. ARCHIBALD, J., AND BAER, J.-L. 1986. Cache coherence protocols: Evaluation using a multiprocessor simulation model. ACM Trans. Comput. Syst. 4, 4 (Nov.), 273-298.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. BOUKNIGHT, W. J., DENEBERG, S. A., MCINTYRE, D. E., RANDALL, J. M., SAMEH, A. H., AND SLOT- NICK, D. L. 1972. The Illiac IV system. Proc. IEEE 60, 4, 369-379. Also appears in Computer Structures: Principles and Examples, D. P. Siewiorek, C. G. Bell, and A. Newell, Eds., McGraw-Hill, New York (1982), 306-316.]]Google ScholarGoogle ScholarCross RefCross Ref
  37. HAYES, J. P., AND MUDGE, T. N. 1989. Hypercube supercomputers. Proc. IEEE 77, 12 (Dec.), 1829-1841.]]Google ScholarGoogle ScholarCross RefCross Ref
  38. HOLLAND, J.H. 1959. A universal computer capable of executing an arbitrary number of subprograms simultaneously. In Proceedings of the East Joint Computer Conference 16, 108-113.]]Google ScholarGoogle Scholar
  39. LENOSKI, D., LAUDON, J., GHARACHORLOO, K., GUPTA, A., AND HENNESSY, J. L. 1990. The Stanford DASH multiprocessor. In Proceedings of the Seventh International Symposium on Computer Architecture (Seattle, June), 148-159.]] Google ScholarGoogle Scholar
  40. LOVETT, T., AND THAKKAR, S. 1988. The Symmetry multiprocessor system. In Proceedings of the 1988 International Conference of Parallel Processing (University Park, PA.), 303-310.]]Google ScholarGoogle Scholar
  41. SCHWARTZ, J. T. 1980. Ultracomputers. ACM Trans. Program. Lang. Syst. 4, 2, 484-521.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. SEITZ, D. 1985. The cosmic cube. Commun. ACM 28, 1 (Jan.), 22-31.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. SLOTNICK, D. L., BORCK, W. C., AND MCREYNOLDS, R.C. 1962. The Solomon computer. In Proceedings of the Fall Joint Computer Conference (Philadelphia, Dec.), 97-107.]]Google ScholarGoogle Scholar
  44. SWAN, R. J., FULLER, S. H., AND SIEWIOREK, D. P. 1977. Cm*--A modular, multi-microprocessor. In Proceedings AFIPS National Computer Conference 46, 637-644.]]Google ScholarGoogle Scholar
  45. WOOD, D. A., AND HILL, M.D. 1995. Cost-effective parallel computing. IEEE Comput. 28, 2 (Feb.).]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. BUCHER, I. V., AND HAYES, A.H. 1980. I/O performance measurement on Cray-1 and CDC 7000 computers. In Proceedings of the Computer Performance Evaluation Users Group, 16th Meeting, NBS 500-65, 245-254.]]Google ScholarGoogle Scholar
  47. CHEN, P. M., LEE, E. K., GIBSON, G. A., KATZ, R. H., AND PATTERSON, D. A. 1994. RAID: High-performance, reliable secondary storage. ACM Comput. Surv. 26, 2 (June), 145-188.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. HOAGLAND, A. S. 1963. Digital Magnetic Recording, Wiley, New York.]] Google ScholarGoogle Scholar
  49. HOSPODOR, A. D., AND HOAGLAND, A. S. 1993. The changing nature of disk controllers. Proc. IEEE 81, 4 (April), 586-594.]]Google ScholarGoogle ScholarCross RefCross Ref
  50. JACOB, B., CHEN, P., SILVERMAN, S., AND MUDGE, T. 1996. An analytical model for designing memory hierarchies. IEEE Trans. Comput.]] Google ScholarGoogle Scholar
  51. KILBURN, T., EDWARDS, D. B. G., LANIGAN, M. J., AND SUMNER, F.H. 1962. One-level storage system. IRE Trans. Electr. Comput. EC-11 (April), 223-235. Also appears in Computer Structures: Principles and Examples (1982), D. P. Siewiorek, C. G. Bell, and A. Newell, Eds., McGraw-Hill, New York, 135-148.]] Google ScholarGoogle ScholarCross RefCross Ref
  52. OLUKOTUN, O. A., MUDGE, T. N., AND BROWN, R. B. 1992. Performance optimization of pipelined primary caches. In Proceedings of the Nineteenth Annual International Symposium on Computer Architecture, 181-190.]] Google ScholarGoogle Scholar
  53. PATTERSON, D. A., GIBSON, G. A., AND KATZ, R. H. 1987. A case for redundant arrays of inexpensive disks (RAID). Tech. Rep. UCB/CSD 87/391, Univ. of Calif. Also appeared in ACM SIGMOD Conference Proceedings, (Chicago, June 1-3, 1988), 109-116.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  54. PRZYBYLSKI, S.A. 1990. Cache Design: A Performance-Directed Approach. Morgan-Kaufmann Publishers, San Mateo, CA.]] Google ScholarGoogle Scholar
  55. SMITH, A. J. 1982. Cache memories. Comput. Surv. 14, 3 (Sept.), 473-530.]] Google ScholarGoogle ScholarDigital LibraryDigital Library
  56. SMITH, A.J. 1985. Disk cache-miss ratio analysis and design considerations. ACM Trans. Comput. Syst. 3, 3 (Aug.), 161-203.]] Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Strategic directions in computer architecture

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Computing Surveys
        ACM Computing Surveys  Volume 28, Issue 4
        Special ACM 50th-anniversary issue: strategic directions in computing research
        Dec. 1996
        281 pages
        ISSN:0360-0300
        EISSN:1557-7341
        DOI:10.1145/242223
        • Editors:
        • Peter Wegner,
        • Jon Doyle
        Issue’s Table of Contents

        Copyright © 1996 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 December 1996
        Published in csur Volume 28, Issue 4

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • article

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader