skip to main content
research-article

Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads

Published: 22 February 2013 Publication History

Abstract

Chip multiprocessor (CMP) techniques have been implemented in embedded systems due to tremendous computation requirements. Three-dimension (3D) CMP architecture has been studied recently for integrating more functionalities and providing higher performance. The high temperature on chip is a critical issue for the 3D architecture. In this article, we propose an online thermal prediction model for 3D chips. Using this model, we propose novel task scheduling algorithms based on rotation scheduling to reduce the peak temperature on chip. We consider data dependencies, especially inter-iteration dependencies that are not well considered in most of the current thermal-aware task scheduling algorithms. Our simulation results show that our algorithms can efficiently reduce the peak temperature up to 8.1ˆC.

References

[1]
Allec, N., Hassan, Z., Shang, L., Dick, R. P., and Yang, R. 2008. Thermalscope: Multi-scale thermal analysis for nanometer-scale integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer Aided Design (ACM/IEEE ICCAD). 75--82.
[2]
Ayoub, R. and Rosing, T. S. 2009. Predict and act: dynamic thermal management for multi-core processors. In Proceeding of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 99--104.
[3]
Black, B., Annavaram, M., Brekelbaum, N., DeVale, J., Jiang, L., Loh, G. H., McCaule, D., Morrow, P., Nelson, D. W., and Pantuso, D. 2006. Die stacking (3D) microarchitecture. In Proceedings of the 39th Annual IEEE/ACM International Symposium on Microarchitecture. 469--479.
[4]
Brooks, D., Tiwari, V., and Martonosi, M. 2000. WATTCH: A framework for architectural-level power analysis and optimizations. In Proceedings of the IEEE Annual International Symposium on Computer Architecture (IEEE ISCA). 83--94.
[5]
Chao, L.-F., LaPaugh, A., and Sha, E. H.-M. 1997. Rotation scheduling: A loop pipelining algorithm. IEEE Trans. Comput. Aided Design Integ. Circ. Syst. 16, 3 (Mar.), 229--239.
[6]
Chaparro, P., González, J., Cai, Q., and Chrysler, G. 2009. Dynamic thermal management using thin-film thermoelectric cooling. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 111--116.
[7]
Coskun, A., Rosing, T., and Gross, K. 2008. Proactive temperature balancing for low cost thermal management in MPSoCs. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ACM/IEEE ICCAD).
[8]
Coskun, A. K., Ayala, J. L., Atienza, D., Rosing, T. S., and Leblebici, Y. 2009. Dynamic thermal management in 3D multicore architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 1410--1415.
[9]
Han, Y., Koren, I., and Moritz, C. A. 2005. Temperature aware floorplanning. In Proceedings of the Workshop on Temperature-Aware Computer Systems.
[10]
Ibarra, O. H. and Kim, C. E. 1977. Heuristic algorithms for scheduling independent tasks on nonidentical processors. J. ACM 24, 2, 280--289.
[11]
JEDEC. 2009. Failure mechanisms and models for semiconductor devices. http://www.jedec.org.
[12]
Kessler, R. E. 1999. The Alpha 21264 microprocessor. IEEE Micro 19, 2, 24--36.
[13]
Lin, C., Yang, C., and King, K. 2009. PPT: joint performance/power/thermal management of DRAM memory for multi-core systems. In Proceedings of the 2009 International Symposium on Low Power Electronics and Design (ISLPED'09). ACM, 93--98.
[14]
Liu, S. and Qiu, M. 2010. Thermal-aware scheduling for peak temperature reduction with stochastic workloads. In Proceedings of the IEEE Real-Time and Embedded Technology and Appreciation Symposium (IEEE/ACM RTAS).
[15]
Liu, S., Zhang, J., Wu, Q., and Qiu, Q. 2010. Thermal-aware job allocation and scheduling for three dimensional chip multiprocessor. In Proceedings of the IEEE International Symposium on Quality Electronic Design. 390--398.
[16]
Mosse, D., Aydin, H., Childers, B., and Melhem, R. 2000. Compiler-assisted dynamic power-aware scheduling for real-time applications. In Proceedings of the Workshop on Compilers and Operating Systems for Low-Power.
[17]
Mulas, F., Pittau, M., Buttu, M., Carta, S., Acquaviva, A., Benini, L., and Atienza, D. 2008. Thermal balancing policy for streaming computing on multiprocessor architectures. In Proceedings of the ACM/IEEE Conference and Exhibition on Design, Automation, and Test in Europe (DATE). 734--739.
[18]
Nookala, V., Lilja, D. J., and Sapatnekar, S. S. 2006. Temperature-aware floorplanning of microarchitecture blocks with IPC-power dependence modeling and transient analysis. In ACM/IEEE ISLPED. 298--303.
[19]
Pathak, M. and Lim, S. 2008. Thermal-aware steiner routing for 3D stacked ICs. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 205--211.
[20]
Puttaswamy, K. and Loh, G. 2007. Thermal herding: microarchitecture techniques for controlling hotspots in high-performance 3d-integrated processors. In Proceedings of the IEEE International Symposium on High-Performance Computer Architecture (HPCA). 193--204.
[21]
Qiu, M., Yang, L., Shao, Z., and Sha, E. H.-M. 2010. Dynamic and leakage energy minimization with soft real-time loop scheduling and voltage assignment. IEEE Trans. TVLSI Syst. 18, 3, 501--504.
[22]
Qiu, M., Jia, Z., Xue, C., Shao, Z., and Sha, E. H. M. 2007. Voltage assignment with guaranteed probability satisfying timing constraint for real-time multiproceesor DSP. J. VLSI Sig. Proc. 46, 1, 55--73.
[23]
Qiu, M. and Sha, E. H.-M. 2009. Cost minimization while satisfying hard/soft timing constraints for heterogeneous embedded systems. ACM Trans. Design Automat. Electron. Syst. 14, 2, 1--30.
[24]
Qiu, M., Yang, L., Shao, Z., and Sha, E. H.-M. 2009. Rotation scheduling and voltage assignment to minimize energy for SoC. In Proceedings of the International Conference on Computational Science and Engineering. 48--55.
[25]
Sankaranarayanan, K., Velusamy, S., Stan, M., and Skadron, K. 2005. A case for thermal-aware floorplanning at the microarchitectural level. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 7, 1--16.
[26]
Shin, D., Kim, J., and Lee, S. 2001. Intra-task voltage scheduling for low-energy, hard real-time applications. IEEE Des. Test Comput. 18, 2, 20--30.
[27]
Shivle, S., Castain, R., Siegel, H. J., et al. 2004. Static mapping of subtasks in a heterogeneous ad hoc grid environment. In Proceedings of the 13th IEEE Heterogeneous Computing Workshop (HCW 2004).
[28]
Shivle, S., Siegel, H. J., Maciejewski, A. A., et al. 2006. Static allocation of resources to communicating subtasks in a heterogeneous ad hoc grid environment. J. Parall. Distrib. Comput. 66, 4, 600--611.
[29]
Skadron, K., Stan, M., Sankaranarayanan, K., Huang, W., Velusamy, S., and Tarjan, D. Mar. 2004. Temperature-aware microarchitecture: Modeling and implementation. ACM Trans. Architect. Code Optim. 1, 1, 94--125.
[30]
Tian, Y. and Ekici, E. 2007. Cross-layer collaborative in-network processing in multihop wireless sensor networks. IEEE Trans. Mob. Comput. 6, 3, 297--310.
[31]
Tian, Y., Ekici, E., and Ozguner, F. 2005. Energy-constrained task mapping and scheduling in wireless sensor networks. In Proceedings of the IEEE International Conference on Mobile Adhoc and Sensor Systems Conference. 211--218.
[32]
Topol, A. W., La Tulipe Jr., D. C., and Shi, L. 2006. Three-dimensional integrated circuits. IBM J. Res. Development 50, 4/5, 491--506.
[33]
Weiser, M., Welch, B., Demers, A., and Shenker, S. 1994. Scheduling for reduced CPU energy. In Proceedings of the 1st USENIX Conference on Operating Systems Design and Implementation.
[34]
Yao, F., Demers, A., and Shenker, S. 1995. A scheduling model for reduced CPU energy. In Proceedings of the 36th Annual Symposium on Foundations of Computer Science (FOCS'95). 374--382.
[35]
Zhou, P., Ma, Y., Li, Z., Dick, R. P., Shang, L., Zhou, H., Hong, X., and Zhou, Q. 2008. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits. In Proceedings of the ACM/IEEE International Conference on Computer-Aided Design (ICCAD). 590--597.
[36]
Zhou, X., Yang, J., Xu, Y., Zhang, Y., and Zhao, J. 2010. Thermal-aware task scheduling for 3D multicore processors. IEEE Trans. Panal. Distr. Syst. 21, 1 (Jan.), 60--70.
[37]
Zhu, C., Gu, Z., Shang, L., Dick, R. P., and Joseph, R. 2008. Three-dimensional chip-multiprocessor run-time thermal management. IEEE Trans. Comput. Aided Des. Integ. Circ. Syst. 27, 8 (Aug.), 1479--1492.

Cited By

View all
  • (2023)DDS-Based Information Interaction System for Ship Cloud Storage Data Center2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00045(216-221)Online publication date: May-2023
  • (2023)An Efficient Privacy-preserving Join-Aggregation Scheme in Smart Grids2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00038(169-174)Online publication date: May-2023
  • (2023)Vision Transformer (ViT)-based Applications in Image Classification2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00033(135-140)Online publication date: May-2023
  • Show More Cited By

Index Terms

  1. Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloads

      Recommendations

      Comments

      Information & Contributors

      Information

      Published In

      cover image ACM Transactions on Embedded Computing Systems
      ACM Transactions on Embedded Computing Systems  Volume 12, Issue 2
      Special issue on embedded systems for interactive multimedia services (ES-IMS)
      February 2013
      209 pages
      ISSN:1539-9087
      EISSN:1558-3465
      DOI:10.1145/2423636
      Issue’s Table of Contents
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Journal Family

      Publication History

      Published: 22 February 2013
      Accepted: 01 March 2011
      Revised: 01 March 2011
      Received: 01 November 2010
      Published in TECS Volume 12, Issue 2

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. Thermal
      2. peak temperature
      3. real-time constraint
      4. task scheduling

      Qualifiers

      • Research-article
      • Research
      • Refereed

      Funding Sources

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)12
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 05 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2023)DDS-Based Information Interaction System for Ship Cloud Storage Data Center2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00045(216-221)Online publication date: May-2023
      • (2023)An Efficient Privacy-preserving Join-Aggregation Scheme in Smart Grids2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00038(169-174)Online publication date: May-2023
      • (2023)Vision Transformer (ViT)-based Applications in Image Classification2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00033(135-140)Online publication date: May-2023
      • (2023)Lightweight Batch Authentication Protocol for Bus-NB-IoT Hierarchical Network in Smart Grid Using Physically Unclonable Function2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00029(107-114)Online publication date: May-2023
      • (2023)Research and Application of Blind Watermark Based on DCT2023 IEEE 9th Intl Conference on Big Data Security on Cloud (BigDataSecurity), IEEE Intl Conference on High Performance and Smart Computing, (HPSC) and IEEE Intl Conference on Intelligent Data and Security (IDS)10.1109/BigDataSecurity-HPSC-IDS58521.2023.00021(60-65)Online publication date: May-2023
      • (2023)A Comprehensive Confirmation-based Selfish Node Detection Algorithm for Socially Aware NetworksJournal of Signal Processing Systems10.1007/s11265-023-01868-695:12(1371-1389)Online publication date: 1-Dec-2023
      • (2023)Big Data Approach for Fire Prevention and Warning for Power SystemsJournal of Signal Processing Systems10.1007/s11265-023-01857-995:12(1391-1403)Online publication date: 1-Dec-2023
      • (2022)TB-NUCA: A Temperature-Balanced 3D NUCA Based on Bayesian OptimizationElectronics10.3390/electronics1118291011:18(2910)Online publication date: 14-Sep-2022
      • (2022)A Survey of Low-Energy Parallel Scheduling AlgorithmsIEEE Transactions on Sustainable Computing10.1109/TSUSC.2021.30579837:1(27-46)Online publication date: 1-Jan-2022
      • (2022)Power Load Curve Clustering based on ISODATA2022 IEEE 7th International Conference on Smart Cloud (SmartCloud)10.1109/SmartCloud55982.2022.00022(104-108)Online publication date: Oct-2022
      • Show More Cited By

      View Options

      Login options

      Full Access

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media