skip to main content
10.1145/2429384.2429479acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Distributed memory interface synthesis for network-on-chips with 3D-stacked DRAMs

Published:05 November 2012Publication History

ABSTRACT

Stacking DRAMs on processing cores by Through-Silicon Vias (TSVs) provides abundant bandwidth and enables a distributed memory interface design. To achieve the best balance in performance and cost in an application-specific system, the distributed memory interface should be tailored for the target applications. In this paper, we propose the first distributed memory interface synthesis framework for application-specific Network-on-Chips (NoCs) with 3D-stacked DRAMs. To maximize the performance of a selected hardware configuration, the proposed framework co-synthesizes the hardware configuration of the distributed memory interface, and the software configuration, e.g. task mapping and data assignment. Since TSVs have adverse impact on chip costs and yields, the goal of the framework is minimizing the number of TSVs provided that the user-defined performance constraint is met.

References

  1. Mpeg-2 video. IS standard. I. D. 13818-2, 2001.Google ScholarGoogle Scholar
  2. T. Adam, K. Chandy, and J. Dickson. A comparison of list schedules for parallel processing systems. Communications of the ACM, 17(12): 685--690, December 1974. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. G. Chen, F. Li, S. Son, and M. Kandemir. Application mapping for chip multiprocessors. In Proc. DAC '08, pages 620--625. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. R. P. Dick. Embedded system synthesis benchmarks suites (e3s). http://www.ece.northwestern.edu/~dickrp/e3s/.Google ScholarGoogle Scholar
  5. R. P. Dick, D. L. Rhodes, and W. Wolf. Tgff: Task graphs for free. In Proceedings of the 6th international workshop on Hardware/software codesign, pages 97--101, 1998. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. X. Dong and Y. Xie. System-level cost analysis and design exploration for three-dimensional integrated circuits (3d ics). In Proc. ASP-DAC '09, pages 234--241. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. EEMBC. Embedded microprocessor benchmark consortium. http://www.eembc.org/home.php.Google ScholarGoogle Scholar
  8. T. Kgil, S. D'Souza, A. Saidi, N. Binkert, R. Dreslinski, T. Mudge, S. Reinhardt, and K. Flautner. Picoserver: Using 3d stacking technology to enable a compact energy efficient chip multiprocessor. ACM SIGOPS Operating Systems Review, 40(5): 117--128, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. D. H. Kim, K. Athikulwongse, and S. K. Lim. A study of through-silicon-via impact on the 3d stacked ic layout. In Proc. ICCAD '09, pages 674--680. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. C. C. Liu, I. Ganusov, M. Burtscher, and S. Tiwari. Bridging the processor-memory performance gap with 3d ic technology. IEEE Design and Test of Computers, 22(6): 556--564, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. G. H. Loh. 3d-stacked memory architectures for multi-core processors. In Proc. ISCA '08, pages 453--464. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. I. Loi and L. Benini. An efficient distributed memory interface for many-core platform with 3d stacked dram. In Proc. DATE '10, pages 99--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. G. D. Micheli. Synthesis and Optimization of Digital Circuits. McGraw-Hill, Inc., 1994. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. N. Miyakawa. A 3d prototyping chip based on a wafer-level stacking technology. In Proc. ASP-DAC '09, pages 416--420. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. Pasricha and N. Dutt. Cosmeca: Application-specific co-synthesis of memory and communication architectures for mpsoc. In Proc. DATE '06, pages 700--705. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. R. Weerasekera, L.-R. Zheng, D. Pamunuwa, and H. Tenhunen. Extending systems-on-chip to the third dimension: Performance, cost and technological tradeoffs. In Proc. ICCAD '07, pages 212--219. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. D. H. Woo, N. H. Seong, D. L. Lewis, and H.-H. S. Lee. An optimized 3d-stacked memory architecture by exploiting excessive, high-density tsv bandwidth. In Proc. HPCA '10, pages 1--12.Google ScholarGoogle Scholar
  18. Y. Xie, G. H. Loh, B. Black, and K. Bernstein. Design space exploration for 3d architectures. ACM Journal of Emerging Technologies in Computing Systems (JETC), 2(2): 65--103, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. Z. Zhao and P. Liang. Data partition for wavefront parallelization of h.264 video encoder. In Proc. ISCAS '06, pages 2669--2672.Google ScholarGoogle Scholar

Index Terms

  1. Distributed memory interface synthesis for network-on-chips with 3D-stacked DRAMs

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ICCAD '12: Proceedings of the International Conference on Computer-Aided Design
          November 2012
          781 pages
          ISBN:9781450315739
          DOI:10.1145/2429384
          • General Chair:
          • Alan J. Hu

          Copyright © 2012 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 5 November 2012

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate457of1,762submissions,26%

          Upcoming Conference

          ICCAD '24
          IEEE/ACM International Conference on Computer-Aided Design
          October 27 - 31, 2024
          New York , NY , USA

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader