skip to main content
10.1145/2432516.2432521acmotherconferencesArticle/Chapter ViewAbstractPublication PagesrapidoConference Proceedingsconference-collections
research-article

TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration

Published:21 January 2013Publication History

ABSTRACT

Three-dimensional stacked Wide I/O DRAMs have been proposed as a promising solution to overcome the pin-limited memory performance growth, the power vs. bandwidth dilemma and the Memory Wall. This new DRAM architecture and organisation requires a new generation of DRAM memory controllers.

In this paper, we present a new methodology using virtual platforms to model the backend of a 3D-DRAM memory subsystem (channel controller and Wide I/O DRAM) with special SystemC TLM2.0 phase extensions. This methodology enables us to explore the complete design space of memory controllers at the system level at very fast simulation speeds with precise timing accuracy. We show simulation speedups of up to 377x with a timing accuracy of 99% compared to an equivalent cycle and pin accurate SystemC based RTL simulation.

References

  1. P. Stanley-Marbell, et al. Pinned to the walls; Impact of packaging and application properties on the memory and power walls. In proc. ISLPED 2011, Aug. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Wm. A. Wulf et al. Hitting the memory wall: implications of the obvious. SIGARCH Comput. Archit. News, March 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. C. Weis, et al. An energy efficient DRAM subsystem for 3D integrated SoCs. In proc. DATE 2012, march 2012.Google ScholarGoogle ScholarCross RefCross Ref
  4. G. Manil D., et al. DRAM selection and configuration for real-time mobile systems. In proc. DATE 2012, March 2012.Google ScholarGoogle Scholar
  5. C. Weis, et al. Design space exploration for 3D-stacked DRAMs. In proc. DATE 2011, March 2011.Google ScholarGoogle ScholarCross RefCross Ref
  6. Cadence Design IP: Wide-I/O Controller. Technical report, Cadence Design Systems, Inc., 2012.Google ScholarGoogle Scholar
  7. M. Ghosh et al. Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs. In proc. MICRO 2007, Dec. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. I. Loi et al. An efficient distributed memory interface for many-core platform with 3D stacked DRAM. In proc. DATE 2010, March 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Guangfei Zhang, et al. Heterogeneous multi-channel: fine-grained DRAM control for both system performance and power efficiency. In DAC 12, Jun. 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. F. Kesel. Modellierung von digitalen Systemen mit SystemC: Von der RTL- zur Transaction-Level-Modellierung. Oldenbourg Wissenschaftsverlag, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  11. Lukai Cai et al. Transaction level modeling: an overview. In proc. CODES+ISSS '03, 2003. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. IEEE Computer Society. IEEE 1666: SystemC Language Reference Manual, 2012 edition, 2011.Google ScholarGoogle Scholar
  13. D. C. Black, et al. SystemC: From the Ground Up, Second Edition. Springer, 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. P. Rosenfeld, et al. DRAMSim2: A Cycle Accurate Memory System Simulator. Computer Architecture Letters, 10(1), Jan.-June 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Nathan Binkert, et al. The gem5 simulator. SIGARCH Comput. Archit. News, 39(2): 1--7, August 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Nan Li, et al. Design and Implementation of an Accurate Memory Subsystem Model in SystemC. Technical report, December 2010.Google ScholarGoogle Scholar
  17. DesignWare TLM Library. http://www.synopsys.com/Systems/VirtualPrototyping/VPModels/Pages/DW-TLM-Library.aspx, 2012.Google ScholarGoogle Scholar
  18. MemMax Scheduler. http://sonicsinc.com/wp-content/uploads/2012/09/Sonics_ProductBrief_MemMax.pdf, 2012.Google ScholarGoogle Scholar
  19. Inc Synopsys. Synopsys Virtual Prototyping Solution. http://www.synopsys.com/Systems/VirtualPrototyping/Pages/default.aspx, 2012.Google ScholarGoogle Scholar
  20. Tim Kogel. Generating Workload Models from TLM-2.0-based Virtual Prototypes for Efficient Architecture Performance Analysis. http://www.nascug.org/events/13th/tlm20_workload_models.pdf, Jun. 2010.Google ScholarGoogle Scholar
  21. Doug Burger et al. The SimpleScalar tool set, version 2.0. SIGARCH Comput. Archit. News, 25(3), June 1997. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Jedec Solid State Technology Association. Wide I/O Single Data Rate JESD 229, Dec. 2011.Google ScholarGoogle Scholar
  23. SystemC Modeling Library (SCML). http://www.synopsys.com/cgi-bin/slcw/kits/reg.cgi.Google ScholarGoogle Scholar
  24. Micron Technology Inc. Calculating Memory System Power for DDR3. Technical report, 2007.Google ScholarGoogle Scholar
  25. Karthik Chandrasekar, et al. Improved Power Modeling of DDR SDRAMs. In proc. DSD'11, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Yuko Hara, et al. Proposal and Quantitative Analysis of the CHStone Benchmark Program Suite for Practical C-based High-level Synthesis. JIP, 17, 2009.Google ScholarGoogle Scholar
  27. Mediabench. http://euler.slu.edu/fritts/mediabench/.Google ScholarGoogle Scholar

Index Terms

  1. TLM modelling of 3D stacked wide I/O DRAM subsystems: a virtual platform for memory controller design space exploration

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Other conferences
      RAPIDO '13: Proceedings of the 2013 Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools
      January 2013
      49 pages
      ISBN:9781450315395
      DOI:10.1145/2432516

      Copyright © 2013 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 21 January 2013

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      Overall Acceptance Rate14of28submissions,50%

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader