skip to main content
10.1145/2451916.2451959acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

An improved benchmark suite for the ISPD-2013 discrete cell sizing contest

Published:24 March 2013Publication History

ABSTRACT

Gate sizing and threshold voltage selection is an important step in the VLSI design process to optimize power and performance of a given netlist. In this paper, we provide an overview of the ISPD-2013 Discrete Cell Sizing Contest. Compared to the ISPD-2012 Contest, we propose improvements in terms of the benchmark suite and the timing models utilized. In this paper, we briefly describe the contest, and provide some details about the standard cell library, benchmark suite, timing infrastructure and the evaluation metrics.

References

  1. M. R. C. M. Berkelaar and J. A. G. Jess. Gate sizing in MOS digital circuits with linear programming. In Proc. of DATE, pages 217--221, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. C. P. Chen, C. C.-N. Chu, and D. F. Wong. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. IEEE Trans. on Computer-Aided Design, 18(7):1014--1025, July 1999. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. Chinnery and K. Keutzer. Linear programming for sizing, vth and vdd assignment. In Proc. of ISLPED, pages 149--154, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. H. Chou, Y.-H. Wang, and C. C.-P. Chen. Fast and effective gate sizing with multiple-Vt assignment using generalized Lagrangian relaxation. In Proc. of ASPDAC, pages 381--386, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M. M. Ozdal, C. Amin, A. Ayupov, S. Burns, G. Wilke, and C. Zhuo. The ISPD-2012 discrete cell sizing contest and benchmark suite. In ACM International Symposium on Physical Design, pages 161--164, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. M. M. Ozdal, S. Burns, and J. Hu. Algorithms for gate sizing and device parameter selection for high-performance designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 31:1558--1571, 2012.Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. M. M. Ozdal, S. Burns, and J. Hu. Gate sizing and device technology selection algorithms for high-performance industrial designs. In Proc. of ICCAD, Nov. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. M. Rahman, H. Tennakoon, and C. Sechen. Power reduction via near-optimal library-based cell-size selection. In Proc. of DATE, 2011.Google ScholarGoogle ScholarCross RefCross Ref
  9. H. Ren and S. Dutt. A network-flow based cell sizing algorithm. In Workshop Notes, Int'l Workshop on Logic Synthesis, 2008.Google ScholarGoogle Scholar
  10. S. Roy, W. Chen, C. C.-P. Chen, and Y. H. Hu. Numerically convex forms and their application in gate sizing. IEEE Trans. on Computer-Aided Design, 26(9):1637--1647, Sept. 2007. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. H. Tennakoon and C. Sechen. Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. In Proc. of ICCAD, pages 395--402, 2002. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. J. Wang, D. Das, and H. Zhou. Gate sizing by Lagrangian relaxation revisited. IEEE Trans. on Computer-Aided Design, 28(7):1071--1084, July 2009. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. An improved benchmark suite for the ISPD-2013 discrete cell sizing contest

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          ISPD '13: Proceedings of the 2013 ACM International symposium on Physical Design
          March 2013
          194 pages
          ISBN:9781450319546
          DOI:10.1145/2451916

          Copyright © 2013 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 24 March 2013

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article

          Acceptance Rates

          Overall Acceptance Rate62of172submissions,36%

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader