skip to main content
10.1145/2463209.2488920acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Taming the complexity of coordinated place and route

Published:29 May 2013Publication History

ABSTRACT

IC performance, power dissipation, size, and signal integrity are now dominated by interconnects. However, with ever-shrinking standard cells, blind minimization of interconnect during placement causes routing failures. Hence, we develop Coordinated Place-and-Route (CoPR) with (i) a Lightweight Incremental Routing Estimation (LIRE) frequently invoked during placement, (ii) placement techniques that address three types of routing congestion, and (iii) an interface to congestion estimation that supports new types of incrementality. LIRE comprehends routing obstacles and non-uniform routing capacities, and relies on a cache-friendly, fully-incremental routing algorithm. Our implementation extends and improves our winning entry at the ICCAD 2012 Contest.

References

  1. T. H. Cormen, C. E. Leiserson, R. L. Rivest and C. Stein, Introduction to Algorithms, Second Edition, MIT Press and McGraw-Hill, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. L. Dagum and R. Menon, "OpenMP: An Industry Standard API for Shared-memory Programming," Computational Science and Engineering 1998, pp. 46--55. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. X. He, T. Huang, L. Xiao, H. Tian, G. Cui and E. F. Young, "Ripple: An Effective Routability-driven Placer by Iterative Cell Movement", ICCAD 2011, pp. 74--79. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. J. Hu, J. A. Roy and I. L. Markov, "Completing High-quality Global Routes", ISPD 2010, pp. 35--41. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. M.-K. Hsu, S. Chou, T.-H. Lin and Y.-W. Chang, "Routability-driven Analytical Placement for Mixed-size Circuit Designs", ICCAD 2011, pp. 80--84. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. L. Hsu, R. Iyer, S. Makineni, S. Reinhardt and D. Newell, "Exploring the Cache Design Space for Large Scale CMPs," Computer Architecture News 2005, pp. 24--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. International Technology Roadmap for Semiconductors (ITRS).Google ScholarGoogle Scholar
  8. M.-C. Kim, J. Hu, D.-J. Lee and I. L. Markov, "A SimPLR Method for Routability-driven Placement", ICCAD 2011, pp. 67--73. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. M.-C. Kim, D.-J. Lee and I. L. Markov, "SimPL: An Effective Placement Algorithm", TCAD 31(1) (2012), pp. 50--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. B. Korte, D. Rautenbach and J. Vygen, "BonnTools: Mathematical Innovation for Layout and Timing Closure of Systems on a Chip", Proc. IEEE 95(3) (2007), pp. 555--572.Google ScholarGoogle ScholarCross RefCross Ref
  11. Z. Li, C. J. Alpert, G.-J. Nam, C. Sze, N. Viswanathan and N. Y. Zhou, "Guiding a Physical Design Closure System to Produce Easier-to-route Designs with More Predictable Timing", DAC 2012, pp. 465--470. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. W.-H. Liu, W.-C. Kao, Y.-L. Li, K.-Y. Chao, "Multi-threaded Collision-aware Global Routing with Bounded-length Maze Routing", DAC 2010, pp.200--205. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. W.-H. Liu, Y.-L. Li, C.-K. Kok,"A Fast Maze-free Routing Congestion Estimator With Hybrid Unilateral Monotonic Routing", ICCAD 2012, pp.713--719. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. M. Pan, Y. Xu, Y. Zhang and C. Chu, "FastRoute: An Efficient and High-quality Global Router", VLSI Design 2012, 18 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. S. K. Raman, V. Pentkovski and J. Keshava, "Implementing Streaming SIMD Extensions on the Pentium III Processor", Micro 20(4)(2000), pp. 47--57. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. P. N. Parakh, R. B. Brown and K. A. Sakallah, "Congestion Driven Quadratic Placement, DAC 1998, pp. 275--278. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. J. A. Roy, N. Viswanathan, G.-J. Nam, C. J. Alpert and I. L. Markov, "CRISP: Congestion Reduction by Iterated Spreading during Placement", ICCAD 2009, pp. 357--362. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. N. Viswanathan, C. J. Alpert, C. Sze, Z. Li, G.-J. Nam and J. A. Roy, "The ISPD-2011 Routability-driven Placement Contest and Benchmark Suite", ISPD 2011, pp. 141--146. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. N. Viswanathan, C. J. Alpert, C. Sze, Z. Li, Y. Wei, "The DAC 2012 Routability-driven Placement Contest and Benchmark Suite", DAC 2012, pp. 774--782. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. N. Viswanathan, C. J. Alpert, C. Sze, Z. Li and Y. Wei, "ICCAD-2012 CAD Contest in Design Hierarchy Aware Routability-driven Placement and Benchmark Suite", ICCAD 2012, pp. 345--348. cad_contest.cs.nctu.edu.tw/CAD-contest-at-ICCAD2012/problems/p2/p2.html Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. Y. Wei, C. Sze, N. Viswanathan, Z. Li, C. J. Alpert, L. N. Reddy, A. D. Huber, G. E. Terez, D. Keller and S. S. Sapatnekar, "GLARE: Global and Local Wiring Aware Routability Evaluation", DAC 2012, pp. 768--773. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. J. Westra and P. Groeneveld, "Is Probabilistic Congestion Estimation Worthwhile?" SLIP 2005, pp. 99--106. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. J. Y. Yen, "An Algorithm for Finding Shortest Routes From All Source Nodes to a Given Destination in General Networks", Proc. Quarterly of Applied Mathematics 27 (1970), pp.526--530.Google ScholarGoogle ScholarCross RefCross Ref
  24. Y. Zhang and C. Chu, "CROP: Fast and Effective Congestion Refinement of Placement", ICCAD 2009, pp. 344--350. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Y. Zhang and C. Chu, "GDRouter: Interleaved Global Routing and Detailed Routing for Ultimate Routability", DAC 2012, pp. 597--602. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Taming the complexity of coordinated place and route

            Recommendations

            Comments

            Login options

            Check if you have access through your login credentials or your institution to get full access on this article.

            Sign in
            • Published in

              cover image ACM Conferences
              DAC '13: Proceedings of the 50th Annual Design Automation Conference
              May 2013
              1285 pages
              ISBN:9781450320719
              DOI:10.1145/2463209

              Copyright © 2013 ACM

              Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

              Publisher

              Association for Computing Machinery

              New York, NY, United States

              Publication History

              • Published: 29 May 2013

              Permissions

              Request permissions about this article.

              Request Permissions

              Check for updates

              Qualifiers

              • research-article

              Acceptance Rates

              Overall Acceptance Rate1,770of5,499submissions,32%

              Upcoming Conference

              DAC '24
              61st ACM/IEEE Design Automation Conference
              June 23 - 27, 2024
              San Francisco , CA , USA

            PDF Format

            View or Download as a PDF file.

            PDF

            eReader

            View online with eReader.

            eReader