skip to main content
10.1145/2627369.2627666acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
poster

Unlocking the true potential of 3D CPUs with micro-fluidic cooling

Published:11 August 2014Publication History

ABSTRACT

As technology scaling is coming to an end, 3D integration is a promising technology to continue transistor density scaling in the future and facilitate new architectural designs. However heat removal is a serious chalenge in 3D ICs. A promising solution is micro-fluidic (MF) cooling. In this paper we argue that aggressive cooling methods are necessary to unlock the true potential of 3D ICs. We simulate a spectrum of 3D CPU architectures which offer vast improvements to performance, but are inefficient and thermally infeasible with air cooling alone. Our results show that integrating micro-fluidic cooling can increase average performance by 2.62x and energy efficiency by 1.78x by unlocking new architectural configurations.

References

  1. M. Awasthi, et al. Handling the problems and opportunities posed by multiple on-chip memory controllers. In PACT'10. ACM, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. M. Bakir, et al. 3D heterogeneous integrated systems: Liquid cooling, power delivery, and implementation. In CICC'08. IEEE, 2008.Google ScholarGoogle ScholarCross RefCross Ref
  3. X. Dong, et al. Simple but Effective Heterogeneous Main Memory with On-Chip Memory Controller Support. In SC'10, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M.-y. Hsieh, et al. A Framework for Architecture-level Power, Area, and Thermal Simulation and Its Application to Network-on-chip Design Exploration. SIGMETRICS PER, Mar. 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. W. Huang, et al. HotSpot: a compact thermal modeling methodology for early-stage VLSI design. TVLSI, 2006. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. W. Liao, et al. Temperature and supply Voltage aware performance and power modeling at microarchitecture level. TCAD, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. G. Loh. 3D-Stacked Memory Architectures for Multi-core Processors. In ISCA'08, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. Meng, et al. Run-time Energy Management of Manycore Systems Through Reconfigurable Interconnects. In GLSVLSI'11, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. J. Meng, et al. Optimizing energy efficiency of 3-D multicore systems with stacked DRAM under power and thermal constraints. In DAC'12, 2012. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. M. Pathak, et al. Through-silicon-via management during 3D physical design: When to add and how many? In ICCAD'10, pages 387--394, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. I. Savidis and E. Friedman. Closed-Form Expressions of 3-D Via Resistance, Inductance, and Capacitance. T-ED, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  12. B. Shi, et al. Non-uniform micro-channel design for stacked 3D-ICs. In DAC'11, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. A. Sridhar, et al. 3D-ICE: Fast compact transient thermal modeling for 3D ICs with inter-tier liquid cooling. In ICCAD'10, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Unlocking the true potential of 3D CPUs with micro-fluidic cooling

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      ISLPED '14: Proceedings of the 2014 international symposium on Low power electronics and design
      August 2014
      398 pages
      ISBN:9781450329750
      DOI:10.1145/2627369

      Copyright © 2014 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 11 August 2014

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • poster

      Acceptance Rates

      ISLPED '14 Paper Acceptance Rate63of184submissions,34%Overall Acceptance Rate398of1,159submissions,34%

      Upcoming Conference

      ISLPED '24

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader