skip to main content
research-article
Public Access

The Cibola Flight Experiment

Authors Info & Claims
Published:06 March 2015Publication History
Skip Abstract Section

Abstract

Over the past 15 years many organizations have researched the use of Static-Random Access Memory (SRAM)-based Field-Programmable Gate Arrays (FPGAs) in space. Although the components can provide a performance improvement over radiation-hardened processing components, random soft errors can occur from the naturally occurring space radiation environment. Many organizations have been developing methods for characterizing, emulating, and simulating radiation-induced events; mitigating and removing radiation-induced computational errors; and designing fault-tolerant reconfigurable spacecraft. Los Alamos National Laboratory has fielded one of the longest space-based FPGAs experiments, called the Cibola Flight Experiment (CFE), using Xilinx Virtex FPGAs. CFE has successfully deployed commercial SRAM FPGAs into a low-Earth orbit with Single-Event Upset (SEU) mitigation and was able to exploit effectively the reconfigurability and customization of FPGAs in a harsh radiation environment. Although older than current state-of-the-art FPGAs, these same concepts are used to deploy newer FPGA-based space systems since the launch of the CFE satellite and will continue to be useful for newer systems. In this article, we present how the system was designed to be fault tolerant, prelaunch predictions of expected on-orbit behaviors, and on-orbit results.

References

  1. M. Alderighi, F. Casini, S. DAngelo, M. Mancini, S. Pastore, G. R. Sechi, and R. Weigand. 2007. Evaluation of single event upset mitigation schemes for SRAM based FPGAs using the FLIPPER fault injection platform. In Proceedings of the IEEE International Symposium on Defect Fault Tolerance VLSI Systems (DFT’07). 105--113. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. G. Allen, G. Swift, and C. Carmichael. 2008. Virtex-4VQ Static SEU Characterization Summary. Technical Report 1. Xilinx Radiation Test Consortium.Google ScholarGoogle Scholar
  3. R. Baumann. 2005. Soft errors in advanced computer systems. IEEE Design Test of Computers 22, 3 (May 2005), 258--266. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. M. Berg, C. Perez, and H. Kim. 2008. Investigating mitigated and non-mitigated multiple clock domain circuitry in a Xilinx Virtex-4 field programmable gate arrays. In Proceedings of the Single-Event Effects Symposium.Google ScholarGoogle Scholar
  5. T. Beutier, E. Delage, M. Wouts, O. Serres, and P.-F. Peyrard. 2003. FASTRAD: New tool for radiation prediction. In Proceedings of the 7th European Conference on Radiation and Its Effects on Components and Systems. 181--183.Google ScholarGoogle Scholar
  6. B. Bridgford, C. Carmichael, and C. Tseng. 2008. Single-Event Upset Mitigation Selection Guide. Technical Report XAPP987. Xilinx Inc.Google ScholarGoogle Scholar
  7. M. Caffrey, M. Echave, C. Fite, T. Nelson, A. Salazar, and S. Storms. 2002a. A space-based reconfigurable radio. In Proceedings of the 5th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD’02). Retrieved from http://klabs.org/richcontent/MAPLDCon02/abstracts/caffrey0_a.pdf.Google ScholarGoogle Scholar
  8. M. Caffrey, P. Graham, M. Wirthlin, E. Johnson, and N. Rollins. 2002b. Single-event upsets in SRAM FPGA. In Proceedings of the 5th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD’02). Retrieved from http://www.klabs.org/richcontent/MAPLDCon02/papers/session_p/p8_caffrey_p.pdf.Google ScholarGoogle Scholar
  9. C. Carmichael. 2001. Triple Module Redundancy Design Techniques for Virtex FPGAs. Technical Report XAPP197. Xilinx Inc.Google ScholarGoogle Scholar
  10. C. Carmichael, M. Caffrey, and A. Salazar. 2000. Correcting Single-Event Upsets through Virtex Partial Configuration. Technical Report XAPP216 (v1.0). Xilinx Inc.Google ScholarGoogle Scholar
  11. C. Carmichael, E. Fuller, J. Fabula, and F. Lima. 2001. Proton testing of SEU mitigation methods for the Virtex FPGA. In Proceedings of the IEEE Microelectronics Reliability and Qualification Workshop.Google ScholarGoogle Scholar
  12. A. Chugg, A. Burnell, P. Duncan, S. Parker, and J. Ward. 2009. The random telegraph signal behavior of intermittently stuck bits in SDRAMs. IEEE Transactions on Nuclear Science 56, 6 (2009), 3057--3064.Google ScholarGoogle ScholarCross RefCross Ref
  13. J. R. Cummings, A. C. Cummings, and R. A. Mewaldt. 1993. SAMPEX measurements of heavy ions trapped in the magnetosphere. IEEE Transactions on Nuclear Science 40, 6 (1993), 1458--1462.Google ScholarGoogle ScholarCross RefCross Ref
  14. R. Do. 2011. The details of triple modular redundancy: An automated mitigation method of I/O signals. In Prooceedings of the Military and Aerospace Programmable Logic Devices. Retrieved from https://nepp.nasa.gov/respace_mapld11/talks/thu/MAPLD_C/0800- Do.pdf.Google ScholarGoogle Scholar
  15. J. Fabula and H. Bogrow. 2000. Total ionizing dose performance of SRAM-based FPGAs and supporting PROMs. In Proceedings of the 3rd Annual Conference on Military and Aerospace Programmable Logic Devices (MAPLD’00). Retrieved from http://klabs.org/richcontent/MAPLDCon00/Abstracts/fabula_a.pdf.Google ScholarGoogle Scholar
  16. O. Flament, J. Baggio, C. D’hose, G. Gasiot, and J. L. Leray. 2004. 14 Mev neutron-induced SEU in SRAM devices. IEEE Transactions on Nuclear Science 51, 5 (2004), 2908--2911.Google ScholarGoogle ScholarCross RefCross Ref
  17. E. Fuller, M. Caffrey, P. Blain, C. Carmichael, N. Khalsa, and A. Salazar. 1999. Radiation test results of the Virtex FPGA and ZBT SRAM for space based reconfigurable computing. In Proceeding of the Military and Aerospace Programmable Logic Devices International Conference (MAPLD’99). Retrieved from http://www.xilinx.com/esp/mil_aero/collateral/RadiationEffects/radi aiton_test_results.pdf.Google ScholarGoogle Scholar
  18. E. Fuller, M. Caffrey, A. Salazar, C. Carmichael, and J. Fabula. 2000a. Radiation testing update, SEU mitigation, and availability analysis of the Virtex FPGA for space reconfigurable computing. In Proceeding of the Military and Aerospace Programmable Logic Devices International Conference (MAPLD’00).Google ScholarGoogle Scholar
  19. E. Fuller, M. Caffrey, A. Salazar, C. Carmichael, and J. Fabula. 2000b. Radiation testing update, SEU mitigation, and availability analysis of the Virtex FPGA for space reconfigurable computing. In Proceedings of the 3rd Annual Conference on Military and Aerospace Programmable Logic Devices (MAPLD’00). Retrieved from http://klabs.org/richcontent/MAPLDCon00/Papers/Session_P/P30_Fuller_P.pdf.Google ScholarGoogle Scholar
  20. P. Graham, M. Caffrey, M. Wirthlin, D. E. Johnson, and N. Rollins. 2003a. SEU mitigation for half-latches in xilinx virtex FPGAs. IEEE Transactions on Nuclear Science 50, 6 (Dec. 2003), 2139--2146.Google ScholarGoogle ScholarCross RefCross Ref
  21. P. Graham, M. Caffrey, M. Wirthlin, E. Johnson, and N. Rollins. 2003b. Consequences and categories of SRAM FPGA configuration SEUs. In Proceeding of the Military and Aerospace Programmable Logic Devices International Conference (MAPLD’03). Retrieved from http://klabs.org/richcontent/MAPLDCon03/papers/c/c6_graham_p.pdf.Google ScholarGoogle Scholar
  22. D. Hiemstra and G. Bailak. 2004. A review of the single event effects performance of x86 microprocessors. In Proceedings of the Canadian Conference on Electrical and Computer Engineering, Vol. 4. 2341--2346.Google ScholarGoogle Scholar
  23. A. Holmes-Siedle and L. Adams. 2002. Handbook of Radiation Effects. Oxford University Press.Google ScholarGoogle Scholar
  24. A. Jacobs, G. Cieslewski, A. George, A. Gordon-Ross, and H. Lam. 2012. Reconfigurable fault tolerance: A comprehensive framework for reliable and adaptive FPGA-based space computing. ACM Transactions on Reconfigurable Technology and Systems 5, 4 (2012), 21:1--21:30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. E. Johnson, M. Caffrey, P. Graham, N. Rollins, and M. Wirthlin. 2003. Accelerator validation of an FPGA SEU simulator. IEEE Transactions on Nuclear Science 50, 6 (Dec. 2003), 2147--2157.Google ScholarGoogle ScholarCross RefCross Ref
  26. M. Kruglanski, N. Messios, E. De Donder, E. Gamby, S. Calders, L. Hetey, H. Evans, and E. Daly. 2009. Last upgrades and development of the space environment information system (SPENVIS). In Proceedings of the 2009 European Conference on Radiation and Its Effects on Components and Systems (RADECS’09). 563--565.Google ScholarGoogle Scholar
  27. D. S. Lee, M. Wirthlin, G. Swift, and A. C. Le. 2014. Single-event characterization of the 28 nm Xilinx Kintex-7 field- programmable grate array under heavy-ion irradiation. Radiation Effects Data Workshop (REDW). IEEE (July 2014), 1--5. DOI:10.1109/REDW.2014.7004595Google ScholarGoogle Scholar
  28. G. Miller, C. Carmichael, and G. Swift. 2008. Single-Event Upset Mitigation for Xilinx FPGA Block Memories. Technical Report XAPP962. Xilinx Inc.Google ScholarGoogle Scholar
  29. K. Morgan, M. Caffrey, P. Graham, E. Johnson, B. Pratt, and M. Wirthlin. 2005. SEU-induced persistent error propagation in FPGAs. IEEE Transactions on Nuclear Science 52, 6 (2005), 2438--2445.Google ScholarGoogle ScholarCross RefCross Ref
  30. K. Morgan, D. McMurtrey, B. Pratt, and M. Wirthlin. 2007. A comparison of TMR with alternative fault-tolerant design techniques for FPGAs. IEEE Transactions on Nuclear Science 54, 6 (2007), 2065--2072.Google ScholarGoogle ScholarCross RefCross Ref
  31. B. Pratt, M. Caffrey, P. Graham, K. Morgan, and M. Wirthlin. 2006. Improving FPGA design robustness with partial TMR. In Proceedings of the 44th Annual IEEE International Reliability Physics Symposium. 226--232.Google ScholarGoogle Scholar
  32. H. Quinn, G. Allen, G. Swift, C. Tseng, P. Graham, K. Morgan, and P. Ostler. 2009. SEU-Susceptibility of logical constants in xilinx FPGA designs. IEEE Transactions on Nuclear Science 56, 6 (2009), 3527--3533.Google ScholarGoogle ScholarCross RefCross Ref
  33. H. Quinn, P. Graham, K. Morgan, Z. Baker, M. Caffrey, D. Smith, M. Wirthlin, and R. Bell. 2013. Flight experience of the xilinx virtex-4. IEEE Transactions on Nuclear Science 60, 4 (2013), 2682--2690.Google ScholarGoogle ScholarCross RefCross Ref
  34. H. Quinn, K. Morgan, P. Graham, J. Krone, and M. Caffrey. 2007a. Static proton and heavy ion testing of the Xilinx Virtex-5 device. In Proceedings of Data Workshop for Nuclear and Space Radiation Effects Conference. 177--184.Google ScholarGoogle Scholar
  35. H. Quinn, K. Morgan, P. Graham, J. Krone, M. Caffrey, and K. Lundgreen. 2007b. Domain crossing errors: Limitations on single device triple-modular redundancy circuits in Xilinx FPGAs. IEEE Transactions on Nuclear Science 54, 6 (2007).Google ScholarGoogle ScholarCross RefCross Ref
  36. P. Rech, C. Aguiar, R. Rodrigues Ferreira, C. Frost, and L. Carro. 2012. Neutron radiation test of graphic processing units. In Proceedings of International On-Line Testing Symposium. 55--60. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. N. Rollins, M. Wirthlin, M. Caffrey, and P. Graham. 2002. Reliability of programmable Input/Output pins in the Presence of configuration upsets. In Proceedings of the 5th Annual International Conference on Military and Aerospace Programmable Logic Devices (MAPLD’02). Retrieved from http://klabs.org/richcontent/MAPLDCon02/presentations/session_c/c3_wirthli n_s.pdf.Google ScholarGoogle Scholar
  38. P. Samudrala, J. Ramos, and S. Katkoori. 2004. Selective triple modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs. IEEE Transactions on Nuclear Science 51, 5 (2004), 2957--2969.Google ScholarGoogle ScholarCross RefCross Ref
  39. B. Schott, S. Crago, C. Chen, J. Czarnaski, M. French, I. Hom, T. Tho, and T. Valenti. 1999. Architectures for system-level applications of adaptive computing. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines. 270--271. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. SILSO. 2013. Daily International Sunspot Number: Last 13 years and 12-month ahead predictions. Retreived from http://sidc.oma.be/sunspot-index-graphics/wolfjmms.php.Google ScholarGoogle Scholar
  41. L. Sterpone, M. Sonza Reorda, M. Violante, F. Lima Kastensmidt, and L. Carro. 2007. Evaluating different solutions to design fault tolerant systems with SRAM-based FPGAs. Journal of Electronic Testing 23, 1 (2007), 47--54. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. A. Sutton. 2013. Creating Highly Reliable FPGA Designs. (2013). http://www.synopsys.com/Company/Publications/SynopsysInsight/Pages/Art5-fpga -designs-IssQ1-13.aspx, last accessed 8/15/2013.Google ScholarGoogle Scholar
  43. G. Swift. 2004. Virtex-II Static SEU Characterization. Technical Report 1. Xilinx Radiation Test Consortium.Google ScholarGoogle Scholar
  44. G. Swift, S. Rezgui, J. George, C. Carmichael, M. Napier, J. Maksymowicz, J. Moore, A. Lesea, R. Koga, and T. F. Wrobel. 2004. Dynamic testing of Xilinx Virtex-II field programmable gate array (FPGA) Input/Output blocks (IOBs). IEEE Transactions on Nuclear Science 51, 6 (Dec. 2004), 3469--3474.Google ScholarGoogle ScholarCross RefCross Ref
  45. G. Swift, C. Tseng, G. Miller, G. Allen, and H. Quinn. 2008. The use of fault injection to simulate upsets in reconfigurable FPGAs. Retrieved from https://nepp.nasa.gov/mapld_2008/presentations/t/05-Swift_Gary_mapld08_pres_1.pdf.Google ScholarGoogle Scholar
  46. Y. Tosaka, H. Ehara, M. Igeta, T. Uemura, H. Oka, N. Matsuoka, and K. Hatanaka. 2004. Comprehensive study of soft errors in advanced CMOS circuits with 90/130 nm technology. In Proceedings of the IEEE International Electron Devices Meeting4. IEDM Technical Digest. 941--944.Google ScholarGoogle Scholar
  47. A. Tylka, J. Adams, P. Boberg, B. Brownstein, W. Dietrich, E. Flueckiger, E. Petersen, M. Shea, D. Smart, and E. Smith. 1997. CREME96: A revision of the cosmic ray effects on micro-electronics code. IEEE Transactions on Nuclear Science 44 (1997), 2150--2160.Google ScholarGoogle ScholarCross RefCross Ref
  48. M. Wirthlin, D. Lee, G. Swift, and H. Quinn. 2014. A method and case study on identifying physically adjacent multiple-cell upsets using 28-nm, interleaved and SECDED-Protected arrays. IEEE Transactions on Nuclear Science 61, 6 (Dec. 2014), 3080--3087.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. The Cibola Flight Experiment

                Recommendations

                Comments

                Login options

                Check if you have access through your login credentials or your institution to get full access on this article.

                Sign in

                Full Access

                • Published in

                  cover image ACM Transactions on Reconfigurable Technology and Systems
                  ACM Transactions on Reconfigurable Technology and Systems  Volume 8, Issue 1
                  February 2015
                  127 pages
                  ISSN:1936-7406
                  EISSN:1936-7414
                  DOI:10.1145/2744082
                  • Editor:
                  • Steve Wilton
                  Issue’s Table of Contents

                  Copyright © 2015 ACM

                  Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

                  Publisher

                  Association for Computing Machinery

                  New York, NY, United States

                  Publication History

                  • Published: 6 March 2015
                  • Revised: 1 May 2014
                  • Accepted: 1 May 2014
                  • Received: 1 October 2013
                  Published in trets Volume 8, Issue 1

                  Permissions

                  Request permissions about this article.

                  Request Permissions

                  Check for updates

                  Qualifiers

                  • research-article
                  • Research
                  • Refereed

                PDF Format

                View or Download as a PDF file.

                PDF

                eReader

                View online with eReader.

                eReader