skip to main content
research-article

Design of Hardened Embedded Systems on Multi-FPGA Platforms

Published:18 November 2014Publication History
Skip Abstract Section

Abstract

The aim of this article is the definition of a reliability-aware methodology for the design of embedded systems on multi-FPGA platforms. The designed system must be able to detect the occurrence of faults globally and autonomously, in order to recover or to mitigate their effects. Two categories of faults are identified, based on their impact on the device elements; (i) recoverable faults, transient problems that can be fixed without causing a lasting effect namely and (ii) nonrecoverable faults, those that cause a permanent problem, making the portion of the fabric unusable. While some aspects can be taken from previous solutions available in literature, several open issues exist. In fact, no complete design methodology handling all the peculiar issues of the considered scenario has been proposed yet, a gap we aim at filling with our work. The final system exposes reliability properties and increases its overall lifetime and availability.

References

  1. P. Alfke. 1998. Xilinx FPGAs: A technical overview for the first-time user. http://www.xilinx.com/support/documentation/application_notes/xapp097.pdf.Google ScholarGoogle Scholar
  2. A. Armin, S. Y. Mahnaz, and N. Zainalabedin. 2006. An optimum ORA BIST for multiple fault FPGA look-up table testing. In Proceedings of the 15th Asian Test Symposium (ATS'06). 293--298. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. Atmel. 2007. F280E 2007 rad hard reprogrammable FPGA. https://nepp.nasa.gov/mafa/talks/MAFA07_06_Renaud.pdf.Google ScholarGoogle Scholar
  4. C. Bolchini, L. Fossati, D. M. Codinachs, A. Miele, and C. Sandionigi. 2010. A reliable reconfiguration controller for fault-tolerant embedded systems on multi-FPGA platforms. In Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI Systems (DTF'10). 191--199. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. C. Bolchini and A. Miele. 2008. Design space exploration for the design of reliable sram-based FPGA systems. In Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI Systems (DTF'08). 332--340. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. C. Bolchini, A. Miele, and C. Sandionigi. 2011a. A novel design methodology for implementing reliability-aware systems on sram-based FPGAs. IEEE Trans. Comput. 60, 12, 1744--1758. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. C. Bolchini, A. Miele, and C. Sandionigi. 2011b. Automated resource-aware floorplanning of reconfigurable areas in partially-reconfigurable FPGA system. In Proceedings of the International Conference on Field Programmable Logic and Applications (FPL'11). 532--538. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. Bolchini, C. Sandionigi, L. Fossati, and D. M. Codinachs. 2011c. A reliable fault classifier for dependable systems on SRAM-based FPGAs. In Proceedings of the 17th IEEE International On-Line Testing Symposium (IOLTS'11). 92--97. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. C. Bolchini and C. Sandionigi. 2010. Fault classification for SRAM-based FPGAs in the space environment for fault mitigation. IEEE Embedd. Syst. Lett. 2, 107--110. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. C. Bolchini and C. Sandionigi. 2011. A reliability-aware partitioner for multi-FPGA platforms. In Proceedings of the International Symposium on Defect and Fault Tolerance in VLSI Systems (DTF'11). 34--40. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. C. Carmichael, M. Caffrey, and A. Salazari. 2000. Correcting single-event upsets through virtex partial configuration. http://atlas-proj-tgc.web.cern.ch/atlas-proj-tgc/docs/SEUs_xapp216.pdf.Google ScholarGoogle Scholar
  12. C. Carmichael and C. W. Tsen. 2009. Correcting single-event upsets in virtex-4 FPGA configuration memory. http://www.xilinx.com/support/documentation/application_notes/xapp1088.pdf.Google ScholarGoogle Scholar
  13. J. Emmert, C. Stroud, B. Skaggs, and M. Abramovici. 2000. Dynamic fault tolerance in FPGAs via partial reconfiguration. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines (FCCM'00). 165--174. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. European Cooperation for Space Standardization. 2008. Methods for the calculation of radiation received and its effects, and a policy for design margin. http://www.ecss.nl/forums/ecss/dispatch.cgi/home/showFile/100697/d20080414114318/No/ECSS-E-10-12A%20v0.20Standard.pdf.Google ScholarGoogle Scholar
  15. L. Fossati and J. Ilstad. 2011. The future of embedded systems at esa: Towards adaptability and reconfigurability. In Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems (AHS'11). 113--120.Google ScholarGoogle Scholar
  16. S. Hauck and A. Dehon. 2007. Reconfigurable Computing: The Theory and Practice of FPGA-Based Computation. Morgan Kaufmann, San Fransisco. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Lattice Semiconductor. 2010. FPGA devices. http://www.latticesemi.com/products/fpga/index.cfm.Google ScholarGoogle Scholar
  18. T. May and M. Woods. 1979. Alpha-particle-induced soft errors in dynamic memories. IEEE Trans. Electron Devices 26, 1, 2--9.Google ScholarGoogle ScholarCross RefCross Ref
  19. S. Mitra, W.-J. Huang, N. R. Saxena, S.-Y. Yu, and E. Mccluskey. 2004. Reconfigurable architecture for autonomous self-repair. IEEE Des. Test Comput. 21, 3, 228--240. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. D. P. Montminy, R. O. Baldwin, P. D. Williams, and B. E. Mullins. 2007. Using relocatable bitstreams for fault tolerance. In Proceedings of the NASA/ESA Conference on Adaptive Hardware and Systems (AHS'07). 701--708. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. K. S. Morgan. 2006. SEU-induced persistent error propagation in FPGAs. http://scholarsarchive.byu.edu/cgi/viewcontent.cgi?article=1520&context=etd.Google ScholarGoogle Scholar
  22. D. Petrick, W. Powell, J. W. Howard, Jr., and K. A. Label. 2004. Virtex-II pro SEE test methods and results. In Proceedings of the Military and Aerospace Applications of Programmable Devices and Technologies Conference.Google ScholarGoogle Scholar
  23. C. Poivey, M. Berg, S. Stansberry, M. Friendlich, H. Kim, D. Petrick, and K. A. Label. 2007. Heavy ion SEE test of virtex4 FPGA xc4vfx60 from xilinx. http://www.klabs.org/DEI/Processor/PowerPC/xilinx/T021607_XC4VFX60.pdf.Google ScholarGoogle Scholar
  24. M. Renovell. 2002. A structural test methodology for SRAM-based FPGAs. In Proceedings of the Symposium on Integrated Circuits and Systems Design (SBCCI'02). 385. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. P. K. Samudrala, J. Ramos, and S. Katkoori. 2004. Selective triple modular redundancy (STMR) based single-event upset (SEU) tolerant synthesis for FPGAs. IEEE Trans. Nuclear Sci. 51, 5, 2957--2969.Google ScholarGoogle ScholarCross RefCross Ref
  26. G. L. Smith and L. De La Torre. 2006. Techniques to enable FPGA based reconfigurable fault tolerant space computing. In Proceedings of the IEEE Aerospace Conference. 1--11.Google ScholarGoogle Scholar
  27. S. Srinivasan, R. Krishnan, P. Mangalagiri, Y. Xie, V. Narayanan, M. J. Irwin, and K. Sarpatwarii. 2008. Toward increasing FPGA lifetime. IEEE Embedd. Syst. Lett. 5, 2, 115--127. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. S. Srinivasan, P. Mangalagiri, Y. Xie, N. Vijaykrishnan, and K. Sarpatwari. 2006. FLAW: FPGA lifetime awareness. In Proceedings of the Design Automation Conference (DAC'06). 630--635. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Synplicity. 2014. HAPS-34. http://www.synopsys.com/home.aspx.Google ScholarGoogle Scholar
  30. Xilinx. 2006. TMRTool. http://www.xilinx.com/esp/milaero/collateral/tmrtoolsellsheetwr.pdf.Google ScholarGoogle Scholar
  31. J. F. Ziegler. 1996. Terrestrial cosmic rays and soft errors. IBM J. Res. Develop. 40, 1, 19--39. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Design of Hardened Embedded Systems on Multi-FPGA Platforms

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in

      Full Access

      • Published in

        cover image ACM Transactions on Design Automation of Electronic Systems
        ACM Transactions on Design Automation of Electronic Systems  Volume 20, Issue 1
        November 2014
        377 pages
        ISSN:1084-4309
        EISSN:1557-7309
        DOI:10.1145/2690851
        Issue’s Table of Contents

        Copyright © 2014 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 18 November 2014
        • Accepted: 1 September 2014
        • Revised: 1 April 2014
        • Received: 1 November 2013
        Published in todaes Volume 20, Issue 1

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • research-article
        • Research
        • Refereed

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader