skip to main content
10.1145/267665.267674acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
Article
Free Access

Partitioning-based standard-cell global placement with an exact objective

Authors Info & Claims
Published:01 April 1997Publication History
First page image

References

  1. AHK96.C. J. Alpert, L. W. Hagen, and A. B. Kahng. "A Hybrid Multilevel/Genetic Approach for Circuit Partitioning." In Ptoc. ACM/SIGDA PJ~ydcal Design Workshop, pp. 100-105, 1996.Google ScholarGoogle Scholar
  2. Alp96.G.J. Alpert. Multi-way Graph and Hypergraph Partitioning. PhD thesis, University of California, Los Angeles, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. BCL87.T. Bui, S. Chaudhuri, T. Leighton, and M, Sipscr. "Graph Bisection Algorithms with Good Average Case Behavior." Combinatorica, 7(2):171-191, 1987. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Bre76.M. A. Breuer. ':Min-cut Placement." Design Automation and Fault-Tolerant Computing, I(4):343-362, 1976.Google ScholarGoogle Scholar
  5. Bre77.M.A. Breuer. "A Class of Min-cut Placement Algorithm for the Placement of Standard Cells," In P~oceedl~gs of the ACM/IEEE Design Automation Conference, pp. 284-290, 1977. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. DD96a.S. Dutt and W. Deng. "VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques." In Proceedings of tile IEEE/ACM International Conference on Computer-Aided De. sign, pp. 194-200, 1996. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. DD96b.S. Dutt and W. Deng. "VLSI Circuit Partitioning by Cluster-Removal Using Iterative Improvement Techniques." In Proc, A CM/SIGDA Physical Design Workshop, pp. 92-99, 1996. Also see corresponding Technical Report, Dept. of Electrical Engineering, U. Minnesota.Google ScholarGoogle Scholar
  8. DJA94.K. Doll, F. M. Johannes, and K. J. Antreich. "Iterative Placement Improvement by Network Flow Methods." IEEE Transactions on Computer- Aided Design, 13:1189-1200, 1994.Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. DJS94.K. Doll, F. M. 3ohannes, and G. Sigl. "Iteratire Placement Improvement by Network Flow Methods," IEEE Transactions on Computer- Aided Design of Integrated Circuits and Systems, 13(10):1189-1199, 1994.Google ScholarGoogle Scholar
  10. DK85.A.E. Dunlop and B. W. Kernighan. "A Procedure for Placement of Standard Cell VLSI Circuits." IEEE Transactions on Computer-Aided Design, 4(1):92-98, 1985.Google ScholarGoogle Scholar
  11. FM82.C.M. Fiduccia and R. M. Mattheyses. "A Linear Time Heuristic for Improving Network Partitions." In Proceedings of the ACM/IEEE Design Automation Conference, pp. 175-181, 1982. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. HHK95.L. W. Hagen, D. J.-H. Huang, and A. B. Kahng. "On Implementation Choices for Iterative Improvement Partitioning Algorithms." In Proceedings European Design Automation Con~, pp. 144-149, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. KL70.B.W. Kernighan and S. Lin. "An Efficient Heuristic Procedure for Partitioning Graphs." Bell Syst, Tech. J., 49(2):291-307, 1970.Google ScholarGoogle Scholar
  14. KSJ91.J. M. Kleinhans, G. Sigl, F. M. Johannes, and K. J. Antreich. "GORDIAN: VLSI Placement by Quadratic Programming and Slicing Optimization." IEEE Transactions on Computer-Aided Design, 10(3):356-365, 1991.Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Lau79.U. Lauther. "A Min-cut Placement Algorithm for General Cell Assemblies Based on a Graph Representation." In Proceedings of the 16th Design Automation Conference, pp. 1-10, 1979. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Len90.T. Lenganer. Combinatorial Algorithms for Integrated Circuit Layout. Wiley-Teubner, 1990. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. RE95.B.M. Riess and G. G. Ettelt. ``SPEED: Fast and Efficient Timing Driven Placement." In Proceedings of the IEEE International Symposium on Circuits and Systems, pp. 377-380, 1995.Google ScholarGoogle Scholar
  18. San89.L. A. Sanchis. "Multiple-Way Network Partitioning." IEEE Transactions on Computers, 38(1):62-81, 1989. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. San93.L.A. Sanchis. "Multiple-Way Network Partitioning with Different Cost Functions." IEEE Transactions on Computers, 42(22):1500-1504, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. SDJ91.G. Sigl, K. Doll, and F. M. Johannes. "Analytical Placement: A Linear or a Quadratic Objective Function?" In Proceedings of the ACM/IEEE Design Automation Conference, pp. 427-432, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. SK87a.P.R. Suaris and G. Kedem. "Quadrisection: A New Approach to Standard Cell Layout." in Pracee&'ngs of the IEEE/AUM International Conference on Computer-Aided Design, pp. 474-477, 1987.Google ScholarGoogle Scholar
  22. SK87b.P.R. Suaris and G. Kedem. "Standard Cell Placement by Quadrisection." In Proceedings IEEE Intl. Conf. Computer Design, pp. 612-615, 1987.Google ScholarGoogle Scholar
  23. SK88.P.R. Suaris and G. Kedem. "An Algorithm for Quadrisection and Its Application to Standard Cell Placement." IEEE Transactions on Circuits and Systems, 35(3}:294-303, 1988.Google ScholarGoogle Scholar
  24. SK89.P.R. Suaris and G. Kedem. "A Quadrisectionbased Combined Place and Route Scheme for Standard Cells." IEEE Transactions on Computer-Aided Design, 8(3):234-244. 1989.Google ScholarGoogle Scholar
  25. SM91.K. Shahoo'kar and P. Mazumder. ~VLSI Cell Placement Techniques." Computing Surveys, 23(2):143-220, 1991. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. SS93.W-J. Sun and C. Sechen. "Efficient and Effective Plzcements for Very Large Circuits." In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design, pp. 170-177, 1993. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. SS95.W. Swartz and C. Sechen. "Timing Driven Placement for Large Standard Cell Circuits." In Proceedings of the ACM/IEEE Design Automation Conference, pp. 211-215, 1995. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Ste97.G. Stenz. 1997. Personal communication.Google ScholarGoogle Scholar
  29. Swa96.W. Swartz, 1996. Personal communication.Google ScholarGoogle Scholar
  30. TK91.R.-S. Tsay and E. S. Kuh. "A Unified Approach to Partitioning and Placement." IEEE Transactions on Circuits and Systems, 38(5):521-533, 1991.Google ScholarGoogle ScholarCross RefCross Ref
  31. TKH88.R.-S. Tsay, E. S. Kuh, and C.-P. Hsu. "PROUD: A Sea-of-Gates Placement Algorithm." IEEE Design &: Tes~ of Computers, 5(6):44-56, 1988. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Partitioning-based standard-cell global placement with an exact objective

      Recommendations

      Comments

      Login options

      Check if you have access through your login credentials or your institution to get full access on this article.

      Sign in
      • Published in

        cover image ACM Conferences
        ISPD '97: Proceedings of the 1997 international symposium on Physical design
        April 1997
        230 pages
        ISBN:0897919270
        DOI:10.1145/267665

        Copyright © 1997 ACM

        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        • Published: 1 April 1997

        Permissions

        Request permissions about this article.

        Request Permissions

        Check for updates

        Qualifiers

        • Article

        Acceptance Rates

        Overall Acceptance Rate62of172submissions,36%

      PDF Format

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader