skip to main content
research-article

Improving Write Performance by Controlling Target Resistance Distributions in MLC PRAM

Published:28 January 2016Publication History
Skip Abstract Section

Abstract

Multi-level cell (MLC) phase change RAM (PRAM) is expected to offer lower cost main memory than DRAM. However, poor write performance is one of the most critical problems for practical applications of MLC PRAM. In this article, we present two schemes to improve write performance by controlling the target resistance distribution of MLC PRAM cells. First, we propose multiple RESET/SET operations that relax the target resistance bands of intermediate logic levels with additional RESET/SET operations, which reduces the program time of intermediate logic levels, thereby improving write performance. Second, we propose a two-step write scheme consisting of lightweight write and idle-time completion write that exploits the fact that hot dirty data tend to be overwritten in a short time period and the MLC PRAM often has long idle times. Experimental results show that the multiple RESET/SET and two-step write schemes result in an average IPC improvement of 15.7% and 10.4%, respectively, on a hybrid DRAM/PRAM main memory subsystem. Furthermore, their integrated solution results in an average IPC improvement of 23.2% (up to 46.4%).

References

  1. J. H. Ahn, S. Li, O. Seongil, and N. P. Jouppi. 2013. McSimA+: A manycore simulator with application-level+ simulation and detailed microarchitecture modeling. In Proceedings of IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS). 74--85.Google ScholarGoogle Scholar
  2. M. Awasthi, M. Shevgoor, K. Sudan, B. Rajendran, R. Balasubramonian, and V. Srinivasan. 2012. Efficient scrub mechanisms for error-prone emerging memories. In Proceedings of the 18th International Symposium on High Performance Computer Architecture (HPCA). Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. M. Boniardi, D. Ielmini, S. Lavizzari, A. L. Lacaita, A. Redaelli, and A. Pirovano. 2010. Statistics of resistance drift due to structural relaxation in phase-change memory arrays. IEEE Transactions on Electron Devices 57, 10. 2690--2696.Google ScholarGoogle ScholarCross RefCross Ref
  4. S. Cho, and H. Lee. 2009. Flit-N-Write: A simple deterministic technique to improve pram write performance, energy and endurance. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 347--357. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Y. Choi, I. Song, M. Park, H. Chung, S. Chang, B. Cho, J. Kim, Y. Oh, D. Kwon, S. Jung, J. Shin, Y. Rho, C. Lee, M. Kang, J. Lee, et al. 2012. A 20nm 1.8V 8Gb PRAM with 40MB/s Program Bandwidth. In Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 46--48.Google ScholarGoogle ScholarCross RefCross Ref
  6. H. Chung, B. Jeong, B. Min, Y. Choi, B. Cho, J. Shin, J. Kim, J. Sunwoo, J. Park, Q. Wang, Y. Lee, S. Cha, D. Kwon, S. Kim, et al. 2011. A 58nm 1.8V 1Gb PRAM with 6.4MB/s Program BW. In Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 500--502.Google ScholarGoogle ScholarCross RefCross Ref
  7. G. F. Close, U. Frey, J. Morrish, R. Jordan, S. Lewis, T. Maffitt, M. BrightSky, C. Hagleitner, C. Lam, and E. Eleftheriou. 2013. A 256-Mcell phase-change memory chip operating at 2+ Bit/Cell. IEEE Transactions on Circuits and Systems I: Regular Papers 60, 6, 1521--1533.Google ScholarGoogle ScholarCross RefCross Ref
  8. G. Dhiman, R. Ayoub, and T. Rosing, 2009. PDRAM: A Hybrid PRAM and DRAM main memory system. In Proceedings of the 46th Annual Design Automation Conference (DAC). 664--669. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. S. Dumas. 2011. Mobile Memory Forum: LPDDR3 and WideIO. In Proceedings of JEDEC Mobile Memory Forum.Google ScholarGoogle Scholar
  10. B. Gleixner, F. Pellizzer, and R. Bez. 2009. Reliability characterization of phase change memory. In Proceedings of the 10th Annual Non-Volatile Memory Technology Symposium (NVMTS). 7--11.Google ScholarGoogle Scholar
  11. A. Hay, K. Strauss, T. Sherwood, G. H. Loh, and D. Burger. 2011. Preventing PCM banks from seizing too much power. In Proceedings of the 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 186--195. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Y. Hwang, C. Um, J. Lee, C. Wei, H. Oh, G. Jeong, H. Jeong, C. Kim, and C. Chung. 2010. MLC PRAM with SLC write-speed and robust read scheme. In Proceedings of Symposium on VLSI Technology Digest of Technical Papers (VLSIT). 201--202.Google ScholarGoogle Scholar
  13. D. Ielmini, A. L. Lacaita, and D. Mantegazza. 2007. Recovery and drift dynamics of resistance and threshold voltages in phase-change memories. IEEE Transactions on Electron Devices 54, 2, 308--315.Google ScholarGoogle ScholarCross RefCross Ref
  14. D. Ielmini, D. Sharma, S. Lavizzari, and Q. L. Lacaita. 2009. Reliability Impact of chalcogenide-structure relaxation in phase-change memory (PCM) Cells—Part I: Experimental Study. IEEE Transactions on Electron Devices 56, 5, 1070--1077.Google ScholarGoogle ScholarCross RefCross Ref
  15. ITRS 2012. The International Technology Roadmap for Semiconductors Report. http://www.itrs.net/.Google ScholarGoogle Scholar
  16. L. Jiang, Y. Zhang, and J. Yang. 2011. enhancing phase change memory lifetime through fine-grained current regulation and voltage upscaling. In Proceedings of the 17th IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED). 127--132. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. L. Jiang, B. Zhao, Y. Zhang, J. Yang, and B. R. Childers. 2012a. Improving write operations in MLC Phase Change Memory. In Proceedings of the 18th International Symposium on High Performance Computer Architecture (HPCA). Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. L. Jiang, Y. Zhang, B. R. Childers, and J. Yang. 2012b. FPB: Fine-grained power budgeting to improve write throughput of multi-level cell phase change memory. In Proceedings of the 45th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. L. Jiang, Y. Zhang, and J. Yang. 2012c. ER: Elastic RESET for low power and long endurance MLC based phase change memory. In Proceedings of the ACM/IEEE International Symposium on Low Power Electronics and Design (ISLPED). 39--44. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. M. Joshi, W. Zhang, and T. Li. 2011. Mercury: A fast and energy-efficient multi-level cell based phase change memory system. In Proceedings of the 17th International Symposium on High Performance Computer Architecture (HPCA). 345--356. Google ScholarGoogle ScholarDigital LibraryDigital Library
  21. S. Kang, S. W. Y. Cho, B. H. Cho, K. J. Lee, C. S. Lee, H. R. Oh, B. G. Choi, Q. Wang, H. J. Kim, M. H. Park, Y. H. Ro, S. Kim, C. D. Ha, K. S. Kim, Y. R. Kim, et al. 2007. A 0.1-μm 1.8-V 256-Mb phase-change random access memory (PRAM) with 66-MHz synchronous burst-read operation. IEEE Journal of Solid-State Circuits 42, 1, 210--218.Google ScholarGoogle ScholarCross RefCross Ref
  22. P. Keller. 2011. Understanding the new bit error rate DRAM timing specifications. In Proceedings of JEDEC Server Memory Forum.Google ScholarGoogle Scholar
  23. K. Kim and S. J. Ahn. 2005. Reliability investigations for manufacturable high density PRAM. In Proceedings of the 43rd Annual IEEE International Reliability Physics Symposium (IRPS). 157--162.Google ScholarGoogle Scholar
  24. Y. Kim. 2015a. A package of MLC PRAM modeling. http://cal.postech.ac.kr/pram_ctrl.tar.gz.Google ScholarGoogle Scholar
  25. Y. Kim, S. Yoo, and S. Lee. 2015b. Technical note: Modeling the write operations in MLC PRAM. http://cmalab.snu.ac.kr/tech_note/mlc_pram_model.pdf.Google ScholarGoogle Scholar
  26. B. C. Lee, E. Ipek, O. Mutlu, and D. Burger. 2009. Architecting phase change memory as a scalable DRAM alternative. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA). 2--13. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. B. Li, S. Shan, Y. Hu, and X. Li. 2014. Partial-SET: Write speedup of PCM main memory. In Proceedings of the Conference on Design, Automation & Test in Europe (DATE). Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. J. Li, B. Luan, T. H. Hsu, Y. Zhu, G. Martyna, D. Newns, H. Y. Cheng, S. Raoux, H. L. Lung, and C. Lam. 2011. Explore physical origins of resistance drift in phase change memory and its implication for drift-insensitive materials. In Proceedings of IEEE International Electron Devices Meeting Technical Digest (IEDM). 12.5.1--12.5.4.Google ScholarGoogle Scholar
  29. J. Liu, B. Jaiyen, R. Veras, and O. Mutlu. 2012. RAIDR: Retention-aware intelligent DRAM refresh. In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA). 1--12. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. R. S. Liu, D. Y. Shen, C. L. Yang, S. C. Yu, and C. M. Wang. 2014. NVM Duet: Unified working memory and persistent store architecture. In Proceedings of the 19th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS). 455--470. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. C. K. Luk, R. Cohn, R. Muth, H. Patil, A. Klauser, G. Lowney, S. Wallace, V. J. Reddi, and K. Hazelwood. 2005. Pin: building customized program analysis tools with dynamic instrumentation. In Proceedings of the ACM SIGPLAN Conference on Programming Language Design and Implementation (PLDI). 190--200. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. A. Mirhoseini, M. Potkonjak, and F. Koushanfar. 2012. Coding-based energy minimization for phase change memory. In Proceedings of the 49th Annual Design Automation Conference (DAC). 68--76. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. T. Nirschl, J. B. Philipp, T. D. Happ, G. W. Burr, B. Rajendran, M. H. Lee, A. Schrott, M. Yang, M. Breitwisch, C. F. Chen, E. Joseph, M. Lamorey, R. Cheek, S. H. Chen, S. Zaidi, et al. 2007. Write strategies for 2 and 4-bit multi-level phase-change memory. In Proceedings of IEEE International Electron Devices Meeting Technical Digest (IEDM). 461--464.Google ScholarGoogle ScholarCross RefCross Ref
  34. A. Pantazi, A. Sebastian, N. Papandreou, M. J. Breitwisch, C. Lam, H. Pozidis, and E. Eleftheriou. 2009. Multilevel phase change memory modeling and experimental characterization. In Proceedings of the European Symposium on Phase Change and Ovonic Science (EPCOS).Google ScholarGoogle Scholar
  35. N. Papandreou, A. Sebastian, A. Pantazi, M. Breitwisch, C. Lam, H. Pozidis, and E. Eleftheriou. 2011a. Drift-resilient cell-state metric for multilevel phase-change memory. In Proceedings of IEEE International Electron Devices Meeting Technical Digest (IEDM). 3.5.1--3.5.4.Google ScholarGoogle Scholar
  36. N. Papandreou, H. Pozidis, T. Mittelholzer, G. F. Close, M. Breitwisch, C. Lam, and E. Eleftheriou. 2011b. Drift-tolerant multilevel phase-change memory. In Proceedings of the 3rd IEEE International Memory Workshop (IMW).Google ScholarGoogle Scholar
  37. N. Papandreou, H. Pozidis, A. Pantazi, A. Sebastian, M. Breitwisch, C. Lam, and E. Eleftheriou. 2011c. Programming algorithms for multilevel phase-change memory. In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS). 329--332.Google ScholarGoogle Scholar
  38. H. Pozidis, N. Papandreou, A. Sebastian, A. Pantazi, T. Mittelholzer, G. F. Close, and E. Eleftheriou. 2011. Enabling technologies for multi-level phase change memory. In Proceedings of the European Symposium on Phase Change and Ovonic Science (EPCOS).Google ScholarGoogle Scholar
  39. M. K. Qureshi, V. Srinivasan, and J. A. Rivers. 2009. Scalable High performance main memory system using phase-change memory technology. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA). 24--33. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. M. K. Qureshi, M. M. Franceschini, L. A. Lastras-Montano, and J. P. Karidis. 2010a. Morphable memory system: A robust architecture for exploiting multi-level phase change memories. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA). 153--162. Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. M. K. Qureshi, M. M. Franceschini, and L. A. Lastras-Montano. 2010b. Improving read performance of phase change memories via write cancellation and write pausing. In Proceedings of the 16th International Symposium on High Performance Computer Architecture (HPCA).Google ScholarGoogle Scholar
  42. M. K. Qureshi, M. M. Franceschini, A. Jagmohan, and L. A. Lastras. 2012. PreSET: Improving performance of phase change memories by exploiting asymmetry in write times. In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA). 380--391. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. A. Sampson, J. Nelson, K. Strauss, and L. Ceze. 2013. Approximate storage in solid-state memories. In Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO). 25--36. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. N. H. Seong, S. Yeo, and H. S. Lee. 2013. Tri-level-cell phase change memory: Toward an efficient and reliable memory system. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA). 440--451. Google ScholarGoogle ScholarDigital LibraryDigital Library
  45. G. Sun, D. Niu, J. Ouyang, and Y. Xie. 2011. A frequent-value based PRAM memory architecture. In Proceedings of the 16th Asia and South Pacific Design Automation Conference (ASPDAC). 211--216. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. C. Villa, D. Mills, G. Barkley, H. Giduturi, S. Schippers, and D. Vimercati. 2010. A 45nm 1Gb 1.8V phase-change memory. In Proceedings of IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC). 270--271.Google ScholarGoogle Scholar
  47. J. Wang, X. Dong, G. Sun, D. Niu, and Y. Xie. 2011. Energy-efficient multi-level cell phase-change memory system with data encoding. In Proceedings of the 29th International Conference on Computer Design (ICCD). 175--182. Google ScholarGoogle ScholarDigital LibraryDigital Library
  48. W. Xu and T. Zhang 2010. Using time-aware memory sensing to address resistance drift issue in multi-level phase change memory. In Proceedings of the 11th International Symposium on Quality Electronic Design (ISQED). 356--361.Google ScholarGoogle Scholar
  49. C. Xu, D. Niu, N. Muralimanohar, N. P. Jouppi, and Y. Xie. 2013. Understanding the trade-offs in multi-level cell ReRAM memory design. In Proceedings of the 60th Annual Design Automation Conference (DAC). Google ScholarGoogle ScholarDigital LibraryDigital Library
  50. J. Yue and Y. Zhu. 2013. Accelerating write by exploiting PCM asymmetries. In Proceedings of the 19th International Symposium on High Performance Computer Architecture (HPCA). 282--293. Google ScholarGoogle ScholarDigital LibraryDigital Library
  51. B. D. Yang, J. E. Lee, J. S. Kim, J. Cho, S. Y. Lee, and B. G. Yu. 2007. A low power phase-change random access memory using a data-comparison write scheme. In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS). 3014--3017.Google ScholarGoogle Scholar
  52. W. Zhang and T. Li. 2011. Helmet: A resistance drift resilient architecture for multi-level cell phase change memory system. In Proceedings of the 41st International Conference on Dependable Systems & Networks (DSN). 197--208. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Improving Write Performance by Controlling Target Resistance Distributions in MLC PRAM

    Recommendations

    Reviews

    Dounia Khaldi

    Memory technology is important to everyone who wants his computer to be faster. Dynamic random-access memory (DRAM) has been used as the main memory technology for decades, but it has some overhead related to its cost and power consumption. Research in this domain continues to find alternative solutions that provide the same or better performance, but with lower cost and lower power consumption. Phase-change RAM (PRAM) technology is one of these alternatives, but has poor write performance. This paper proposes two techniques to improve the write performance of the hybrid PRAM/DRAM system. First, multiple RESET/SET operations are proposed to reduce the latency for intermediate logic levels. Also, a two-step write scheme is adopted to perform a first lightweight write that has short retention time; then, during idle time, the lifetime of this data under lightweight write is increased by performing a completion write. This paper will be interesting to computer scientists who are developing high-scale scientific applications in different domains such as biology, physics, chemistry, medicine, and so on, and need to know about the current research and future directions with regard to memory technology. This will make it possible to tune algorithms to make the best use of memory. Online Computing Reviews Service

    Access critical reviews of Computing literature here

    Become a reviewer for Computing Reviews.

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in

    Full Access

    • Published in

      cover image ACM Transactions on Design Automation of Electronic Systems
      ACM Transactions on Design Automation of Electronic Systems  Volume 21, Issue 2
      January 2016
      422 pages
      ISSN:1084-4309
      EISSN:1557-7309
      DOI:10.1145/2888405
      • Editor:
      • Naehyuck Chang
      Issue’s Table of Contents

      Copyright © 2016 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 28 January 2016
      • Accepted: 1 September 2015
      • Revised: 1 July 2015
      • Received: 1 March 2015
      Published in todaes Volume 21, Issue 2

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article
      • Research
      • Refereed

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader