Abstract
Pin access has become one of the most difficult challenges for detailed routing in advanced technology nodes, for example, in 14nm and below, for which double-patterning lithography has to be used for manufacturing lower metal routing layers with tight pitches, such as M2 and M3. Self-aligned double patterning (SADP) provides better control on line edge roughness and overlay, but it has very restrictive design constraints and prefers regular layout patterns. This article presents a comprehensive pin-access planning and regular routing framework (PARR) for SADP friendliness. Our key techniques include precomputation of both intracell and intercell pin accessibility, as well as local and global pin-access planning to enable handshaking between standard cell-level pin access and detailed routing under SADP constraints. A pin access–driven rip-up and reroute scheme is proposed to improve the ultimate routability. Our experimental results demonstrate that PARR can achieve much better routability and overlay control compared with previous approaches.
- C. J. Alpert, Z. Li, C. N. Sze, and Y. Wei. 2013. Consideration of local routing and pin access during VLSI global routing. Retrieved April 2, 2016 from http://www.google.com/patents/US20130086544 US Patent App. 13/252,067.Google Scholar
- Cadence. 2009. LEF/DEF Language Reference. Retrieved April 2, 2016 from ftp://ftp.sitsemi.ru/pub/Cadence/lefdefref.pdf.Google Scholar
- Cadence. 2012. Cadence SOC Encounter. Retrieved April 2, 2016 from http://www.cadence.com/.Google Scholar
- William A. Dees Jr and Patrick G. Karger. 1982. Automated rip-up and reroute techniques. In ACM/IEEE Design Automation Conference (DAC’82). 432--439. Google ScholarDigital Library
- William A. Dees Jr and Robert J. Smith II. 1981. Performance of interconnection rip-up and reroute strategies. In ACM/IEEE Design Automation Conference (DAC’81). 382--390. Google ScholarDigital Library
- Yixiao Ding, Chris Chu, and Wai-Kei Mak. 2015. Detailed routing for spacer-is-metal type self-aligned double/quadruple patterning lithography. In ACM/IEEE Design Automation Conference (DAC’15). 69:1--69:6. Google ScholarDigital Library
- Yuelin Du, Qiang Ma, Hua Song, James Shiely, Gerard Luk-Pat, Alexander Miloslavsky, and Martin D. F. Wong. 2013. Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography. In ACM/IEEE Design Automation Conference (DAC’13). 93:1--93:6. Google ScholarDigital Library
- Jhih-Rong Gao and David Z. Pan. 2012. Flexible self-aligned double patterning aware detailed routing with prescribed layout planning. In ACM International Symposium on Physical Design (ISPD’12). 25--32. Google ScholarDigital Library
- Gurobi. 2014. GUROBI. Retrieved April 2, 2016 from http://www.gurobi.com/html/academic.html.Google Scholar
- Antonin Guttman. 1984. R-Trees: A Dynamic Index Structure for Spatial Searching. Vol. 14. ACM, New York, NY.Google Scholar
- Meng-Kai Hsu, Nitesh Katta, Homer Yen-Hung Lin, Keny Tzu-Hen Lin, King Ho Tam, and Ken Chung-Hsing Wang. 2014. Design and manufacturing process co-optimization in nano-technology. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD’14). 574--581. Google ScholarDigital Library
- Chikaaki Kodama, Hirotaka Ichikawa, Koichi Nakayama, Toshiya Kotani, Shigeki Nojima, Shoji Mimotogi, Shinji Miyamoto, and Atsushi Takahashi. 2013. Self-aligned double and quadruple patterning-aware grid routing with hotspots control. In IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC’13). 267--272.Google ScholarCross Ref
- Iou-Jen Liu, Shao-Yun Fang, and Yao-Wen Chang. 2014. Overlay-aware detailed routing for self-aligned double patterning lithography using the cut process. In ACM/IEEE Design Automation Conference (DAC’14). 50:1--50:6. Google ScholarDigital Library
- Gerard Luk-Pat, Alex Miloslavsky, Ben Painter, Li Lin, Peter De Bisschop, and Kevin Lucas. 2012. Design compliance for spacer is dielectric (SID) patterning. In Proceedings of SPIE. 83260D--83260D.Google ScholarCross Ref
- Gerard Luk-Pat, Ben Painter, Alex Miloslavsky, Peter De Bisschop, Adam Beacham, and Kevin Lucas. 2013. Avoiding wafer-print artifacts in spacer is dielectric (SID) patterning. In Proceedings of SPIE. 868312--868312.Google ScholarCross Ref
- Yuangsheng Ma, Jason Sweis, Hidekazu Yoshida, Yan Wang, Jongwook Kye, and Harry J. Levinson. 2012. Self-aligned double patterning (SADP) compliant design flow. In Proceedings of SPIE. 832706--832706.Google Scholar
- Minoo Mirsaeedi, J. Andres Torres, and Mohab Anis. 2011. Self-aligned double-patterning (SADP) friendly detailed routing. In Proceedings of SPIE. 79740O--79740O.Google ScholarCross Ref
- NanGate. 2012. NanGate FreePDK45 Generic Open Cell Library. Retrieved April 2, 2016 from http://www.si2.org/openeda.si2.org/projects/nangatelib.Google Scholar
- Tim Nieberg. 2011. Gridless pin access in detailed routing. In ACM/IEEE Design Automation Conference (DAC’11). 170--175. Google ScholarDigital Library
- OpenMP. 2011. The OpenMP API Specification for Parallel Programming. Retrieved April 2, 2016 from http://openmp.org/wp/. (2011).Google Scholar
- Muhammet Mustafa Ozdal. 2009. Detailed-routing algorithms for dense pin clusters in integrated circuits. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 28, 3, 340--349. Google ScholarDigital Library
- David Z. Pan, Bei Yu, and J.-R. Gao. 2013. Design for manufacturing with emerging nanolithography. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 32, 10, 1453--1472. Google ScholarDigital Library
- Zhongdong Qi, Yici Cai, and Qiang Zhou. 2014. Accurate prediction of detailed routing congestion using supervised data learning. In Proceedings of the IEEE International Conference on Computer Design (ICCD’14). IEEE, 97--103.Google ScholarCross Ref
- Michael C. Smayling, Koichiro Tsujita, Hidetami Yaegashi, Valery Axelrad, Tadashi Arai, Kenichi Oyama, and Arisa Hara. 2013. Sub-12nm optical lithography with 4x pitch division and SMO-lite. In Proceedings of SPIE. 868305--868305.Google ScholarCross Ref
- Synopsys. 2012. Synopsys Design Compiler. Retrieved April 2, 2016 from http://www.synopsys.com. (2012).Google Scholar
- Taraneh Taghavi, Charles Alpert, Andrew Huber, Zhuo Li, Gi-Joon Nam, and Shyam Ramji. 2010. New placement prediction and mitigation techniques for local routing congestion. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD’10). 621--624. Google ScholarDigital Library
- Zigang Xiao, Yuelin Du, Haitong Tian, and Martin D. F. Wong. 2013. Optimally minimizing overlay violation in self-aligned double patterning decomposition for row-based standard cell layout in polynomial time. In IEEE/ACM International Conference on Computer-Aided Design (ICCAD’13). 32--39. Google ScholarDigital Library
- Xiaoqing Xu, Brian Cline, Greg Yeric, Bei Yu, and David Z. Pan. 2014. Self-aligned double patterning aware pin access and standard cell layout co-optimization. In ACM International Symposium on Physical Design (ISPD). 101--108. Google ScholarDigital Library
- Xiaoqing Xu, Bei Yu, Jhih-Rong Gao, Che-Lun Hsu, and David Z. Pan. 2015. PARR: Pin access planning and regular routing for self-aligned double patterning. In ACM/IEEE Design Automation Conference (DAC’15). 28:1--28:6. Google ScholarDigital Library
- Wei Ye, Bei Yu, David Z. Pan, Yong-Chan Ban, and Lars Liebmann. 2015. Standard cell layout regularity and pin access optimization considering middle-of-line. In ACM Great Lakes Symposium on VLSI (GLSVLSI’15). 289--294. Google ScholarDigital Library
- Yanheng Zhang and Chris Chu. 2011. RegularRoute: An efficient detailed router with regular routing patterns. In ACM International Symposium on Physical Design (ISPD’11). 45--52. Google ScholarDigital Library
Index Terms
PARR: Pin-Access Planning and Regular Routing for Self-Aligned Double Patterning
Recommendations
Pin Accessibility-Driven Detailed Placement Refinement
ISPD '17: Proceedings of the 2017 ACM on International Symposium on Physical DesignThe significantly increased number of routing design rules at sub-20nm nodes has made pin access one of the most critical challenges in detailed routing. Resolving pin access issues in detailed routing stage may be too late due to the fixed pin ...
Self-aligned double patterning aware pin access and standard cell layout co-optimization
ISPD '14: Proceedings of the 2014 on International symposium on physical designSelf-Aligned Double Patterning (SADP) is being considered for use at the 10$nm$ technology node and below for routing layers with pitches down to ~50nm because it has better LER and overlay control compared to other multiple patterning candidates. To ...
PARR: pin access planning and regular routing for self-aligned double patterning
DAC '15: Proceedings of the 52nd Annual Design Automation ConferencePin access has become one of the most difficult challenges for detailed routing in 14nm technology node and beyond, where double patterning lithography has to be used for manufacturing lower metal layers with tight pitches. Self-aligned double ...
Comments