ABSTRACT
The concept of 3D chip stacks has been advocated by both industry and academia for many years, and hailed as one of the most promising approaches to meet ever-increasing demands for performance, functionality and power consumption going forward. However, a multitude of challenges has thus far obstructed large-scale transition from "classical" 2D chips to stacked 3D chips. We survey major design challenges for 3D chip stacks with particular focus on their implications for physical design. We also derive requirements for advances in design automation, such as the need for a unified workflow. Finally, we outline current promising solutions as well as areas needing further research and development.
- W. Arden et al., "More-than-Moore" "White Paper," ITRS, Tech. Rep., 2010.Google Scholar
- R. R. Tummala, System on Package: Miniaturization of the Entire System. McGraw-Hill Professional, 2008.Google Scholar
- (2014, Feb) Hybrid Memory Cube specification 2.0. [Online]: http://hybridmemorycube.org/files/SiteDownloads/20141119_HMCC_Spec2.0Release.pdfGoogle Scholar
- S. Borkar, "3D integration for energy efficient system design," in Proc. DAC, 2011, pp. 214--219.Google Scholar
- D. H. Kim et al., "3D-MAPS: 3D massively parallel processor with stacked memory," in Proc. ISSCC, 2012, pp. 188--190.Google Scholar
- G. Neela and J. Draper, "Logic-on-logic partitioning techniques for 3-dimensional integrated circuits," in Proc. ISCAS, 2013, pp. 789--792.Google Scholar
- T. Thorolfsson, S. Lipa, and P. D. Franzon, "A 10.35 mW/GFlop stacked SAR DSP unit using fine-grain partitioned 3D integration," in Proc. CICC, 2012, pp. 1--4.Google Scholar
- J. Knechtel, I. L. Markov, and J. Lienig, "Assembling 2-D blocks into 3-D chips," Trans. CAD, vol. 31, no. 2, pp. 228--241, 2012.Google ScholarDigital Library
- C. Zhang and L. Li, "Characterization and design of through-silicon via arrays in three-dimensional ICs based on thermomechanical modeling," Trans. ED, vol. 58, no. 2, pp. 279--287, 2011.Google ScholarCross Ref
- V. S. Nandakumar and M. Marek-Sadowska, "Layout effects in fine-grain 3-D integrated regular microprocessor blocks," in Proc. DAC, 2011, pp. 639--644.Google Scholar
- J. H. Lau, "TSV interposer: The most cost-effective integrator for 3D IC integration," SEMATECH Symposium Taiwan, 2011.Google Scholar
- D. Milojevic et al., "Design issues in heterogeneous 3D/2.5D integration," in Proc. ASPDAC, 2013, pp. 403--410.Google Scholar
- C. Zhang and G. Sun, "Fabrication cost analysis for 2D, 2.5D, and 3D IC designs," in Proc. 3DIC, 2012, pp. 1--4.Google Scholar
- P. Dorsey, Xilinx stacked silicon interconnect technology delivers breakthrough FPGA capacity, bandwidth, and power efficiency, Xilinc, Inc., Tech. Rep., 2010.Google Scholar
- (2013, Nov) Open-Silicon and GLOBALFOUNDRIES demonstrate custom 28nm SoC using 2.5D technology. [Online]: http://www.open-silicon.com/2013/11/open-silicon-globalfoundries-demonstrate-custom-28nm-soc-2--5d-technology/Google Scholar
- G. H. Loh et al., "Interconnect-memory challenges for multi-chip, silicon interposer systems," in Proc. MEMSYS, 2015, pp. 3--10.Google ScholarDigital Library
- W. Yao et al., "Modeling and application of multi-port TSV networks in 3-D IC," Trans. CAD, vol. 32, no. 4, pp. 487--496, 2013.Google ScholarDigital Library
- B. Martin, K. Han, and M. Swaminathan, "A path finding based SI design methodology for 3D integration," in Proc. ECTC, 2014, pp. 2124--2130.Google Scholar
- Y.-J. Lee and S. K. Lim, "Ultrahigh density logic designs using monolithic 3-D integration," Trans. CAD, vol. 32, no. 12, pp. 1892--1905, 2013.Google ScholarDigital Library
- S. Panth et al., "Placement-driven partitioning for congestion mitigation in monolithic 3D IC designs," Trans. CAD, vol. 34, no. 4, pp. 540--553, 2015.Google ScholarCross Ref
- S. K. Samal et al., "Fast and accurate thermal modeling and optimization for monolithic 3D ICs," in Proc. DAC, 2014, pp. 1--6.Google Scholar
- M. B. Healy and S. K. Lim, "Distributed TSV topology for 3-D power-supply networks," Trans. VLSI, vol. 20, no. 11, pp. 2066--2079, 2012.Google ScholarDigital Library
- H.-T. Chen et al., "A new architecture for power network in 3D IC," in Proc. DATE, 2011, pp. 1--6.Google Scholar
- J. Knechtel et al., "Multiobjective optimization of deadspace, a critical resource for 3D-IC integration," in Proc. ICCAD, 2012, pp. 705--712.Google ScholarDigital Library
- Y. Chen et al., "Through silicon via aware design planning for thermally efficient 3-D integrated circuits," Trans. CAD, vol. 32, no. 9, pp. 1335--1346, 2013.Google ScholarDigital Library
- P. Hsu, H. Chen, and T. Hwang, "Stacking signal TSV for thermal dissipation in global routing for 3-D IC," Trans. CAD, vol. 33, no. 7, pp. 1031--1042, 2014.Google ScholarCross Ref
- J. Knechtel, E. Young, and J. Lienig, "Planning massive interconnects in 3D chips," Trans. CAD, vol. 34, no. 11, pp. 1808--1821, 2015.Google ScholarCross Ref
- P. Jain et al., "A multi-story power delivery technique for 3D integrated circuits," in Proc. ISLPED, 2008, pp. 57--62.Google ScholarDigital Library
- K. Kim et al., Effects of on-chip decoupling capacitors and silicon substrate on power distribution networks in TSV-based 3D-ICs," in Proc. ECTC, 2012, pp. 690--697.Google Scholar
- G. Huang et al., "Power delivery for 3D chip stacks: Physical modeling and design implication," in Proc. EPEPS, 2007, pp. 205--208.Google Scholar
- S. Samal et al., "Ultralow power circuit design with subthreshold/near-threshold 3-D IC technologies," Trans. CPMT, vol. 5, no. 7, pp. 980--990, 2015.Google Scholar
- D. Fick et al., "Centip3De: A cluster-based NTC architecture with 64 ARM Cortex-M3 cores in 3D stacked 130 nm CMOS," J. Solid-State Circ., vol. 48, no. 1, pp. 104--117, 2013.Google ScholarCross Ref
- S. Jin et al., "On optimizing system energy of voltage-frequency island based 3-D multi-core SoCs under thermal constraints," Integration, vol. 48, pp. 36--45, 2015.Google ScholarDigital Library
- J. Cong and Y. Ma, "Thermal-aware 3D floorplan," in Three Dimensional Integrated Circuit Design, Y. Xie, J. Cong, and S. Sapatnekar, Eds. Springer US, 2010, ch. 4, pp. 63--102.Google Scholar
- P. Budhathoki et al., "Integrating 3D floorplanning and optimization of thermal through-silicon vias," in 3D Stacked Chips -- From Emerging Processes to Heterogeneous Systems, I. A. M. Elfadel and G. Fettweis, Eds. Springer, 2016, ch. 10.Google Scholar
- J. Knechtel, E. F. Y. Young, and J. Lienig, "Structural planning of 3D-IC interconnects by block alignment," in Proc. ASPDAC, 2014, pp. 53--60.Google Scholar
- G. Luo, Y. Shi, and J. Cong, "An analytical placement framework for 3-D ICs and its extension on thermal awareness," Trans. CAD, vol. 32, no. 4, pp. 510--523, 2013.Google ScholarDigital Library
- J. Sun et al., "3D power delivery for microprocessors and high-performance ASICs,"in Proc. Appl. Power Electr. Conf., 2007, pp. 127--133.Google Scholar
- P. Zhou, K. Sridharan, and S. S. Sapatnekar, "Congestion-aware power grid optimization for 3D circuits using MIM and CMOS decoupling capacitors," in Proc. ASPDAC, 2009, pp. 179--184.Google Scholar
- D. Sekar et al., "A 3D-IC technology with integrated microchannel cooling," in Proc. IITC, 2008, pp. 13--15.Google Scholar
- A.-C. Hsieh and T. Hwang, "TSV redundancy: Architecture and design issues in 3-D IC," Trans. VLSI, vol. 20, no. 4, pp. 711--722, 2012.Google ScholarDigital Library
- I. Loi et al., "A low-overhead fault tolerance scheme for TSV-based 3D network on chip links," in Proc. ICCAD, 2008, pp. 598--602.Google Scholar
- C.-L. Lung et al., Through-silicon via fault-tolerant clock networks for 3-D ICs," Trans. CAD, vol. 32, no. 7, pp. 1100--1109, 2013.Google Scholar
- H. Park and T. Kim, "Synthesis of TSV fault-tolerant 3-D clock trees," Trans. CAD, vol. 34, no. 2, pp. 266--279, Feb 2015.Google ScholarCross Ref
- X. Zhao and S. K. Lim, "TSV array utilization in low-power 3D clock network design," in Proc. ISLPED, 2012, pp. 21--26.Google ScholarDigital Library
- X. Zhao and S. K. Lim, "Through-silicon-via-induced obstacle-aware clock tree synthesis for 3D ICs, in Proc. ASPDAC, 2012, pp. 347--352.Google ScholarCross Ref
- S. Garg and D. Marculescu, "Mitigating the impact of process variation on the performance of 3-D integrated circuits," Trans. VLSI, vol. 21, no. 10, pp. 1903--1914, 2013.Google ScholarDigital Library
- S. Marella and S. Sapatnekar, "A holistic analysis of circuit performance variations in 3-D ICs with thermal and TSV-induced stress considerations," Trans. VLSI, vol. 23, no. 7, pp. 1308--1321, 2015.Google ScholarCross Ref
- J.-S. Yang et al., "Robust clock tree synthesis with timing yield optimization for 3D-ICs," in Proc. ASPDAC, 2011, pp. 621--626.Google Scholar
- T.-Y. Kim and T. Kim, "Post silicon management of on-package variation induced 3D clock skew," J. Semicond. Technol. Scie, vol. 12, no. 2, pp. 139--149, 2012.Google ScholarCross Ref
- X. Zhao, J. Minz, and S. K. Lim, "Low-power and reliable clock network design for through-silicon via (TSV) based 3D ICs," Trans. CPMT, vol. 1, no. 2, pp. 247--259, 2011.Google Scholar
- H. Xu, V. F. Pavlidis, and G. De Micheli, "Effect of process variations in 3D global clock distribution networks," J. Emerg. Technol. Comput. Syst., vol. 8, no. 3, pp. 20:1--20:25, 2012.Google ScholarDigital Library
- X. Zhao, S. Mukhopadhyay, and S. K. Lim, "Variation-tolerant and low-power clock network design for 3D ICs," in Proc. ECTC, 2011, pp. 2007--2014.Google Scholar
- M. Jung et al., "On enhancing power benefits in 3D ICs: Block folding and bonding styles perspective," in Proc. DAC, 2014, pp. 1--6.Google ScholarDigital Library
- Y. Ma et al., "Investigating the effects of fine-grain three-dimensional integration on microarchitecture design," J. Emerg. Technol. Comput. Syst., vol. 4, no. 4, pp. 17:1--17:30, 2008.Google ScholarDigital Library
- Y.-S. Huang, Y.-H. Liu, and J.-D. Huang, "Layer-aware design partitioning for vertical interconnect minimization," in ISVLSI, 2011, pp. 144--149.Google Scholar
- H.-L. Chang et al., "A 3D IC designs partitioning algorithm with power consideration," in Proc. ISQED, 2012, pp. 137--142.Google Scholar
- R. Wang, E. F. Y. Young, and C.-K. Cheng, "Complexity of 3-D floorplans by analysis of graph cuboidal dual hardness," Trans. DAES, vol. 15, no. 4, pp. 33:1--33:22, 2010.Google ScholarDigital Library
- C.-R. Li, W.-K. Mak, and T.-C. Wang, Fast fixed-outline 3-D IC floorplanning with TSV co-placement, Trans. VLSI, vol. 21, no. 3, pp. 523--532, 2013.Google ScholarDigital Library
- A. Quiring, M. Olbrich, and E. Barke, Fast global interconnnect driven 3D floorplanning, in Proc. VLSISOC, 2015, pp. 313--318.Google ScholarCross Ref
- R. Fischbach, J. Lienig, and J. Knechtel, Investigating modern layout representations for improved 3D design automation, in Proc. GLSVLSI, 2011, pp. 337--342.Google ScholarDigital Library
- S. K. Lim, Research needs for TSV-based 3D IC architectural floorplanning," J. lnf. Commun. Converg. Eng., vol. 12, no. 1, pp. 46--52, 2014.Google Scholar
- M. Healy et al., Multiobjective microarchitectural floorplanning for 2-D and 3-D ICs, Trans. CAD, vol. 26, no. 1, pp. 38--52, 2007.Google ScholarDigital Library
- R. K. Nain and M. Chrzanowska-Jeske, "Fast placement-aware 3-D floorplanning using vertical constraints on sequence pairs, Trans. VLSI, vol. 19, no. 9, pp. 1667--1680, 2011.Google ScholarDigital Library
- Y. Liu et al., "Fine grain 3D integration for microarchitecture design through cube packing exploration," in Proc. ICCD, 2007, pp. 259--266.Google Scholar
- K. Athikulwongse et al., "Stress-driven 3D-IC placement with TSV keep-out zone and regularity study," in Proc. ICCAD, 2010, pp. 669--674.Google Scholar
- J. Cong et al., Thermal-aware 3D IC placement via transformation, in Proc. ASPDAC, 2007, pp. 780--785.Google Scholar
- J. Cong, G. Luo, and Y. Shi, Thermal-aware cell and through-silicon-via co-placement for 3D ICs, in Proc. DAC, 2011, pp. 670--675.Google ScholarDigital Library
- D. H. Kim, K. Athikulwongse, and S. K. Lim, "Study of through-silicon-via impact on the 3-D stacked IC layout," Trans. VLSI, vol. 21, no. 5, pp. 862--874, 2013.Google ScholarDigital Library
- K. Athikulwongse, M. Pathak, and S. K. Lim, "Exploiting die-to-die thermal coupling in 3D IC placement, in Proc. DAC, 2012, pp. 741--746.Google ScholarDigital Library
- J. Lu et al., ePlace-3D: electrostatics based placement for 3D-ICs, ArXiv e-prints, Dec. 2015.Google Scholar
- M.-K. Hsu, V. Balabanov, and Y.-W. Chang, TSV-aware analytical placement for 3-D IC designs based on a novel weighted-average wirelength model, Trans. CAD, vol. 32, no. 4, pp. 497--509, 2013.Google ScholarDigital Library
- B. Goplen and S. Sapatnekar, "Placement of 3D ICs with thermal and interlayer via considerations," in Proc. DAC, 2007, pp. 626--631.Google Scholar
- D. Kim, S. Mukhopadhyay, and S. Lim, "TSV-aware interconnect distribution models for prediction of delay and power consumption of 3-D stacked ICs," Trans. CAD, vol. 33, no. 9, pp. 1384--1395, 2014.Google ScholarCross Ref
- R. Fischbach, J. Lienig, and T. Meister, "From 3D circuit technologies and data structures to interconnect prediction," in Proc. SLIP, 2009, pp. 77--84.Google ScholarDigital Library
- J. Cong and Y. Zhang, "Thermal-driven multilevel routing for 3-D ICs," in Proc. ASPDAC, 2005, pp. 121--126.Google Scholar
- T. Zhang, Y. Zhan, and S. S. Sapatnekar, Temperature-aware routing in 3D ICs,"in Proc. ASPDAC, 2006, pp. 1--6.Google Scholar
- M. Pathak and S. K. Lim, "Performance and thermal-aware Steiner routing for 3-D stacked ICs," Trans. CAD, vol. 28, no. 9, pp. 1373--1386, 2009.Google ScholarDigital Library
- P.-Y. Hsu, H.-T. Chen, and T. Hwang, "Stacking signal TSV for thermal dissipation in global routing for 3D IC," in Proc. ASPDAC, 2013, pp. 699--704.Google Scholar
- Y.-J. Lee and S. K. Lim, "Co-optimization and analysis of signal, power, and thermal interconnects in 3-D ICs," Trans. CAD, vol. 30, no. 11, pp. 1635--1648, 2011.Google ScholarDigital Library
- J. R. Minz and S. K. Lim, "Block-level 3-D global routing with an application to 3-D packaging," Trans. CAD, vol. 25, no. 10, pp. 2248--2257, 2006.Google ScholarDigital Library
- Y. Wang et al., "Economizing TSV resources in 3-D network-on-chip design," Trans. VLSI, vol. 23, no. 3, pp. 493--506, 2015.Google ScholarCross Ref
- S. Foroutan, A. Sheibanyrad, and F. Petrot, "Assignment of vertical-links to routers in vertically-partially-connected 3-D-NoCs," Trans. CAD, vol. 33, no. 8, pp. 1208--1218, 2014.Google ScholarCross Ref
- R. Wang, K. Chakrabarty, and S. Bhawmik, "Interconnect testing and test-path scheduling for interposer-based 2.5-D ICs," Trans. CAD, vol. 34, no. 1, pp. 136--149, 2015.Google ScholarCross Ref
- L.-R. Huang et al., "Parametric fault testing and performance characterization of post-bond interposer wires in 2.5-D ICs," Trans. CAD, vol. 33, no. 3, pp. 476--488, 2014.Google ScholarDigital Library
- S. Huang et al., "Pulse-vanishing test for interposers wires in 2.5-D IC," Trans. CAD, vol. 33, no. 8, pp. 1258--1268, 2014.Google ScholarCross Ref
- M. Taouil et al., "Post-bond interconnect test and diagnosis for 3-D memory stacked on logic," Trans. CAD, vol. 34, no. 11, pp. 1860--1872, 2015.Google ScholarCross Ref
- S. Deutsch et al., "TSV stress-aware ATPG for 3D stacked ICs," in Proc. ATS, 2012, pp. 31--36.Google Scholar
- M. Agrawal, K. Chakrabarty, and B. Eklow, Test-cost optimization and test-flow selection for 3D-stacked ICs, Duke University, Tech. Rep., 2012.Google Scholar
- D. Milojevic et al., "Automated pathfinding tool chain for 3D-stacked integrated circuits: Practical case study," in Proc. 3DIC, 2009, pp. 1--6.Google Scholar
- F. Yazdani and J. Park, "Pathfinding methodology for optimal design and integration of 2.5D/3D interconnects," in Proc. ECTC, 2014, pp. 1667--1672.Google Scholar
- (2011, Dec) JEDEC standard: JESD229 Wide I/O. [Online]: http://www.jedec.org/standards-documents/results/jesd229Google Scholar
- S. Priyadarshi et al., "Thermal pathfinding for 3-D ICs," Trans. CPMT, vol. 4, no. 7, pp. 1159--1168, 2014.Google Scholar
- (2014, June) Mentor Graphics launches Xpedition Path Finder suite for efficient IC/Package/PCB design optimization, assembly, and visualization. Mentor Graphics. [Online]: https://www.mentor.com/company/news/mentor-xpedition-path-finderGoogle Scholar
- L. Cederström, "EDA environments for 3D chip stacks," in 3D Stacked Chips -- From Emerging Processes to Heterogeneous Systems, I. A. M. Elfadel and G. Fettweis, Eds. Springer, 2016, ch. 9.Google Scholar
- M. Jung, D. Z. Pan, and S. K. Lim,"Chip/package mechanical stress impact on 3-D IC reliability and mobility variations," Trans. CAD, vol. 32, no. 11, pp. 1694--1707, 2013.Google ScholarDigital Library
- P. Schneider et al., "Towards a methodology for analysis of interconnect structures for 3D-integration of micro systems," in DTIP, 2007, pp. 162--168.Google Scholar
- K. H. Lu et al., "Thermo-mechanical reliability of 3-D ICs containing through silicon vias," in Proc. ECTC, 2009, pp. 630--634.Google Scholar
- H. M. Lee et al., "Impact of TSV induced thermo-mechanical stress on semiconductor device performance," in Proc. EDAPS, 2012, pp. 189--192.Google Scholar
- Y. S. Chan, H. Y. Li, and X. Zhang, "Thermo-mechanical design rules for the fabrication of TSV interposers," Trans. CPMT, vol. 3, no. 4, pp. 633--640, 2013.Google Scholar
- R. Fischbach, A. Heinig, and P. Schneider, "Design rule check and layout versus schematic for 3D integration and advanced packaging," in Proc. 3DIC, 2014, pp. 1--7.Google Scholar
- M. Swaminathan, "Electrical design and modeling challenges for 3D system integration," in DesignCon Tutorial, 2012, pp. 1--31.Google Scholar
- T. Seifert, F. Pauls, and G. Fettweis, "Multi-TSV crosstalk channel equalization with non-uniform quantization," in 3D Stacked Chips -- From Emerging Processes to Heterogeneous Systems, I. A. M. Elfadel and G. Fettweis, Eds. Springer, 2016, ch. 4.Google Scholar
- P. Schneider et al., "Integration of multi physics modeling of 3D stacks into modern 3D data structures," in Proc. 3DIC, 2010, pp. 1--6.Google Scholar
- A. Heinig and R. Fischbach, "Overview of 3D CAD design tools," in Physical Design for 3D Integrated Circuits, A. Todri-Sanial and C. S. Tan, Eds.CRC Press, Taylor & Francis, 2016, ch. 14, pp. 311--320.Google Scholar
- G. Cibrario et al., "A 3D process design kit generator based on customizable 3D layout design environment," in Proc. 3DIC, 2013, pp. 1--5.Google Scholar
- A. Heinig, R. Fischbach, and M. Dittrich, "Thermal analysis and optimization of 2.5D and 3D integrated systems with Wide I/O memory," in Proc. ITHERM, 2014, pp. 86--91.Google Scholar
- (2015, Nov) JEDEC standard: JESD235A high bandwidth memory (HBM). [Online]: http://www.jedec.org/standards-documents/docs/jesd235aGoogle Scholar
- SK HYNIX INC. (2014, Dec) SK Hynix HBM graphics memory. [Online]: http://www.skhynix.com/inc/pdfDownload.jsp?path=/datasheet/Databook/Databook_Q4'2014_Graphics.pdfGoogle Scholar
- H. Reiter. (2014, Dec) 3D ASIP 2014: All aboard the 3D IC train! [Online]: http://www.3dincites.com/2014/12/3d-asip-2014-addresses-3d-benefits-challenges-solutionsGoogle Scholar
- E. J. Marinissen, "Status update of IEEE Std P1838," in Proc. Int. Workshop Testing 3D Stack. Integr. Circ., 2014.Google Scholar
- A. Heinig and R. Fischbach, "Enabling automatic system design optimization through assembly design kits," in Proc. 3DIC, 2015, pp. TS8.31.1--TS8.31.5.Google Scholar
- J. Ferguson and T. Ramadan. (2015, Nov) Why do we need assembly design kits for packages? [Online]: http://www.3dincites.com/2015/11/why-do-we-need-assembly-design-kits-for-packagesGoogle Scholar
Index Terms
Physical Design Automation for 3D Chip Stacks: Challenges and Solutions
Recommendations
TSV-aware analytical placement for 3D IC designs
DAC '11: Proceedings of the 48th Design Automation ConferenceThrough-silicon vias (TSVs) are required for transmitting signals among different dies for the three-dimensional integrated circuit (3D IC) technology. The significant silicon areas occupied by TSVs bring critical challenges for 3D IC placement. Unlike ...
Physical Design Challenges in Modern Heterogeneous Integration
ISPD '24: Proceedings of the 2024 International Symposium on Physical DesignTo achieve the power, performance, and area (PPA) target in modern semiconductor design, the trend to go for More-than-Moore heterogeneous integration by packing various components/dies into a package becomes more obvious as the economic advantages of ...
New strategies for gridded physical design for 32nm technologies and beyond
ISPD '09: Proceedings of the 2009 international symposium on Physical designIn this invited talk, we present a physical design solution for manufacturability in advanced technology nodes that is significantly different than existing approaches based on DFM analysis tools and rules-based restrictions. This new gridded design ...
Comments