skip to main content
10.1145/3060403.3060459acmconferencesArticle/Chapter ViewAbstractPublication PagesglsvlsiConference Proceedingsconference-collections
research-article

Energy Efficient In-Memory Computing Platform Based on 4-Terminal Spin Hall Effect-Driven Domain Wall Motion Devices

Published:10 May 2017Publication History

ABSTRACT

In this paper, we propose an energy efficient in-memory computing platform based on novel 4-terminal spin Hall effect-driven domain wall motion devices that could be employed as both non-volatile memory cell and in-memory logic unit. The proposed designs lead to unity of memory and logic. The device to architecture level simulation results show that, with 45% area increase, the proposed in-memory computing platform achieves the write energy 15.6 ~ fJ/bit which is more than one order lower than that of standard 1-transistor 1-magnetic tunnel junction counterpart while keeping the identical 1ns writing speed. In addition, the proposed in-memory logic scheme improves the operating energy by 61.3% as compared with the conventional nonvolatile in-memory logic designs.

References

  1. D. Fan et al., "Hierarchical temporal memory based on spin-neurons and resistive memory for energy-efficient brain-inspired computing," 2015.Google ScholarGoogle Scholar
  2. P. Chi et al., "Prime: A novel processing-in-memory architecture for neural network computation in reram-based main memory," in Proceedings of ISCA, vol. 43, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  3. D. P. Zhang et al., "A new perspective on processing-in-memory architecture design," in Proceedings of the ACM SIGPLAN Workshop. ACM, 2013, p. 7. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Y. Wang et al., "An energy-efficient nonvolatile in-memory computing architecture for extreme learning machine by domain-wall nanowire devices," IEEE TNANO, 2015.Google ScholarGoogle Scholar
  5. H.-S. P. Wong et al., "Phase change memory," Proc. IEEE,2010.Google ScholarGoogle Scholar
  6. Y. Kim et al., "Dsh-mram: differential spin hall mram for on-chip memories," IEEE Elec. Dev. Let., 2013.Google ScholarGoogle Scholar
  7. Y. Kim, S. K. Gupta, S. P. Park, G. Panagopoulos, and K. Roy, "Write-optimized reliable design of stt mram," in Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design. ACM, 2012, pp. 3--8. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. X. Fong et al., "Spin-transfer torque devices for logic and memory: Prospects and perspectives," IEEE TCAD, 2016.Google ScholarGoogle Scholar
  9. D. Fan et al., "Design and synthesis of ultra low energy spin-memristor threshold logic," IEEE TNANO, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. A. Khvalkovskiy et al., "Matching domain-wall configuration and spin-orbit torques for efficient domain-wall motion," PRB,2013.Google ScholarGoogle Scholar
  11. P. Haazen et al., "Domain wall depinning governed by the spin hall effect," Nature materials, 2013.Google ScholarGoogle Scholar
  12. J. Torrejon et al., "Interface control of the magnetic chirality in cofeb/mgo heterostructures with heavy-metal under layers," Nature communications, 2014.Google ScholarGoogle Scholar
  13. C.-F. Pai et al., "Spin transfer torque devices utilizing the giant spin hall effect of tungsten," APL, 2012.Google ScholarGoogle Scholar
  14. X. Fong et al., "Knack: A hybrid spin-charge mixed-mode simulator for evaluating different genres of spin-transfer torque mram bit-cells," in IEEE SISPAD, 2011.Google ScholarGoogle Scholar
  15. K. Roy et al., "Exploring boolean and non-boolean computing with spin torque devices," in Proc. of ICCAD, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. http://math.nist.gov/oommf/.Google ScholarGoogle Scholar
  17. K. Tsuchida et al., "A 64mb mram with clamped-reference and adequate-reference schemes, 2010 isscc, 2010, pp. 258--259."Google ScholarGoogle Scholar
  18. S. J. Noh et al., "Effects of notch shape on the magnetic domain wall motion in nanowires with in-plane or perpendicular magnetic anisotropy," JAP, 2012.Google ScholarGoogle Scholar
  19. S. Fukami et al., "20-nm magnetic domain wall motion memory with ultralow-power operation," in IEEE IEDM, 2013.Google ScholarGoogle Scholar
  20. http://www.eda.ncsu.edu/wiki/FreePDK45.Google ScholarGoogle Scholar
  21. X. Dong et al., "Nvsim: A circuit-level performance, energy, and area model for emerging non-volatile memory," in Emerging Memory Technologies. Springer, 2014.Google ScholarGoogle Scholar
  22. K. Huang and R. Zhao, "Magnetic domain-wall racetrack memory-based nonvolatile logic for low-power computing and fast run-time-reconfiguration."Google ScholarGoogle Scholar
  23. H.-P. Trinh et al., "Magnetic adder based on racetrack memory," IEEE TCASI, vol. 60, pp. 1469--1477, 2013.Google ScholarGoogle Scholar
  24. K. Huang et al., "Stt-mram based low power synchronous non-volatile logic with timing demultiplexing," in NANOARC.ACM, 2014. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. Y. Zhang et al., "Giant spin hall effect (gshe) logic design for low power application," in Proc. of DATE, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy Efficient In-Memory Computing Platform Based on 4-Terminal Spin Hall Effect-Driven Domain Wall Motion Devices

    Recommendations

    Comments

    Login options

    Check if you have access through your login credentials or your institution to get full access on this article.

    Sign in
    • Published in

      cover image ACM Conferences
      GLSVLSI '17: Proceedings of the on Great Lakes Symposium on VLSI 2017
      May 2017
      516 pages
      ISBN:9781450349727
      DOI:10.1145/3060403

      Copyright © 2017 ACM

      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      • Published: 10 May 2017

      Permissions

      Request permissions about this article.

      Request Permissions

      Check for updates

      Qualifiers

      • research-article

      Acceptance Rates

      GLSVLSI '17 Paper Acceptance Rate48of197submissions,24%Overall Acceptance Rate312of1,156submissions,27%

      Upcoming Conference

      GLSVLSI '24
      Great Lakes Symposium on VLSI 2024
      June 12 - 14, 2024
      Clearwater , FL , USA

    PDF Format

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader