skip to main content
10.1145/3061639.3062240acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Error Propagation Aware Timing Relaxation For Approximate Near Threshold Computing

Authors Info & Claims
Published:18 June 2017Publication History

ABSTRACT

Near threshold computing (NTC) through aggressive supply voltage scaling has the potential to significantly improve energy-efficiency. However, the increase in variation-induced timing errors is a major challenge in NTC. This can be addressed in the scope of approximate computing by selectively embracing non-important variation-induced timing errors. In this paper, we propose a framework to leverage the error tolerance potential of approximate computing for energy-efficient NTC designs. In our framework, statistical timing error analysis as well as structural and functional error propagation analysis is performed to identify the approximable portion of a design. Then, a mixed-timing logic synthesis is employed to improve energy-efficiency by embracing errors in the approximable portion of the design. Experimental results show that the proposed approach can improve the energy-efficiency of NTC designs by more than 30%.

References

  1. Ghasemzadeh et.al. Power-aware computing in wearable sensor networks: An optimal feature selection. Mobile Computing, 2015.Google ScholarGoogle Scholar
  2. Samie et.al. Iot technologies for embedded computing. dens, 2016.Google ScholarGoogle Scholar
  3. Gebregiorgis et.al. Maximizing energy efficiency in ntc by variation-aware microprocessor pipeline optimization. In ISLPED, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Gebregiorgis et.al. A cross-layer analysis of soft error, aging and process variation in near threshold computing. In DATE, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  5. Han et.al. Approximate computing: An emerging paradigm for energy-efficient design. In ETS, 2013.Google ScholarGoogle Scholar
  6. Almurib et.al. Inexact designs for approximate low power addition by cell replacement. In DATE, 2016. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Chippa et.al. Scalable effort hardware design: exploiting algorithmic resilience for energy efficiency. In DAC, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. Park et.al. Dynamic bit-width adaptation in dct: an approach to trade off image quality and computation energy. TVLSI, 2010. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Shim et.al. Reliable low-power digital signal processing via reduced precision redundancy. TVLSI, 2004. Google ScholarGoogle ScholarDigital LibraryDigital Library
  10. Hegde et.al. Soft digital signal processing. TVLSI, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Gupta et.al. Low-power digital signal processing using approximate adders. TCAD, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Yang et.al. Approximate xor/xnor-based adders for inexact computing. In IEEE-NANO, 2013.Google ScholarGoogle Scholar
  13. Ajay et.al. Variable latency speculative addition: A new paradigm for arithmetic circuit design. In DATE, 2008.Google ScholarGoogle Scholar
  14. Kyaw et.al. Low-power high-speed multiplier for error-tolerant application. In EDSSC, 2010.Google ScholarGoogle Scholar
  15. Akturk et.al. Decoupled control and data processing for approximate near-threshold voltage computing. IEEE Micro, 2015.Google ScholarGoogle Scholar
  16. Dreslinski et.al. Near-threshold computing: Reclaiming moore's law through energy efficient integrated circuits. Proceedings IEEE, 2010.Google ScholarGoogle Scholar
  17. Karlin. A first course in stochastic processes. Tutorial, 2014.Google ScholarGoogle Scholar
  18. G. Asadi and M.B. Tahoori. An analytical approach for soft error rate estimation in digital circuits. In ISCAS, 2005.Google ScholarGoogle ScholarCross RefCross Ref
  19. H. Asadi and M.B. Tahoori. Soft error modeling and protection for sequential elements. In DFT, 2005. Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Sampson et.al. Enerj: Approximate data types for safe and general low-power computation. In ACM SIGPLAN, 2011. Google ScholarGoogle ScholarDigital LibraryDigital Library

Recommendations

Comments

Login options

Check if you have access through your login credentials or your institution to get full access on this article.

Sign in
  • Published in

    cover image ACM Conferences
    DAC '17: Proceedings of the 54th Annual Design Automation Conference 2017
    June 2017
    533 pages
    ISBN:9781450349277
    DOI:10.1145/3061639

    Copyright © 2017 ACM

    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    • Published: 18 June 2017

    Permissions

    Request permissions about this article.

    Request Permissions

    Check for updates

    Qualifiers

    • research-article
    • Research
    • Refereed limited

    Acceptance Rates

    Overall Acceptance Rate1,770of5,499submissions,32%

    Upcoming Conference

    DAC '24
    61st ACM/IEEE Design Automation Conference
    June 23 - 27, 2024
    San Francisco , CA , USA

PDF Format

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader