skip to main content
research-article

Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects

Authors Info & Claims
Published:11 August 2017Publication History
Skip Abstract Section

Abstract

Single-layer optical crossbar interconnections based on Wavelength Division Multiplexing stand among other nanophotonic interconnects because of their low latency and low power. However, such architectures suffer from a poor scalability due to losses induced by long propagation distances on waveguides and waveguide crossings. Multi-layer deposited silicon technology allows the stacking of optical layers that are connected by means of Optical Vertical Couplers. This allows significant reduction in the optical losses, which contributes to improve the interconnect scalability but also leads to new challenges related to network designs and layouts. In this article, we investigate the design of optical crossbars using multi-layer silicon deposited technology. We propose implementations for Ring-, Matrix-, λ-router-, and Snake-based topologies. Layouts avoiding waveguide crossings are compared to those minimizing the waveguide length according to worst-case and average losses. The laser output power is estimated from the losses, which allows us to evaluate the energy efficiency improvement induced by multi-layer technology over traditional planar implementations (33% on average). Finally, networks comparison has been carried out and the results show that the ring topology leads to a 43% reduction in the laser output power.

References

  1. C. Sun, M. T. Wade, Y. Lee, J. S. Orcutt, L. Alloatti, M. S. Georgas, A. S. Waterman, J. M. Shainline, R. R. Avizienis, S. Lin, B. R. Moss, R. Kumar, F. Pavanello, A. H. Atabaki, H. M. Cook, A. J. Ou, J. C. Leu, Y.-H. Chen, K. Asanović, R. J. Ram, M. a. Popović, and V. M. Stojanović. 2015. Single-chip microprocessor that communicates directly using light. Nature 528 (2015), 534--538. Google ScholarGoogle ScholarCross RefCross Ref
  2. J. Psota, J. Miller, G. Kurian, H. Hoffman, N. Beckmann, J. Eastep, and A. Agarwal. 2010. ATAC: Improving performance and programmability with on-chip optical networks. In Proceedings of the IEEE International Symposium on Circuits and Systems (ISCAS’10). 3325--3328. Google ScholarGoogle ScholarCross RefCross Ref
  3. A. Shacham, K. Bergman, and L. P. Carloni. 2008. Photonic networks-on-chip for future generations of chip multiprocessors. IEEE Trans. Comput. 57, 9 (2008), 1246--1260. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. I. O’Connor, F. Mieyeville, F. Gaffiot, A. Scandurra, and G. Nicolescu. 2008. Reduction methods for adapting optical network on chip topologies to specific routing applications. In Proceedings of the International Conference on Design of Circuits and Integrated Systems (DCIS’08).Google ScholarGoogle Scholar
  5. S. Le Beux, J. Trajkovic, I. O’Connor, and G. Nicolescu. 2011. Layout guidelines for 3D architectures including optical ring network-on-chip (ORNoC). In Proceedings of the 2011 IEEE/IFIP 19th International Conference on VLSI and System-on-Chip. 242--247.Google ScholarGoogle Scholar
  6. P. Koka, M. O. McCracken, H. Schwetman, C.-H. O. Chen, X. Zheng, R. Ho, K. Raj, and A. V. Krishnamoorthy. 2012. A micro-architectural analysis of switched photonic multi-chip interconnects. In Proceedings of the 39th Annual International Symposium on Computer Architecture. Google ScholarGoogle ScholarCross RefCross Ref
  7. A. Biberman, N. Sherwood-Droz, X. Zhu, M. Lipson, and K. Bergman. 2011. High-speed data transmission in multi-layer deposited silicon photonics for advanced photonic networks-on-chip. In Proceedings of the Conference on Laser Applications to Photonic Applications (CLEO’11). Google ScholarGoogle ScholarCross RefCross Ref
  8. R. Hendry, G. Hendry, and K. Bergman. 2011. TDM photonic network using deposited materials. In Proceedings of the Fifteenth Annual Workshop in High Performance Embedded Computing (HPEC’11).Google ScholarGoogle Scholar
  9. R. Sun, M. Beals, A. Pomerene, J. Cheng, C.-y. Hong, L. Kimerling, and J. Michel. Impedance matching vertical optical waveguide couplers for dense high index contrast circuits. Opt. Express 16, 16 (2008), 11682--11690. Google ScholarGoogle ScholarCross RefCross Ref
  10. A. Parini, G. Calò, G. Bellanca, and V. Petruzzelli. 2014. Vertical link solutions for multilayer optical-networks-on-chip topologies. Opt. Quant. Electron. 46, 3 (2014), 385--396. Google ScholarGoogle ScholarCross RefCross Ref
  11. N. Sherwood-Droz and M. Lipson. 2011. Scalable 3D dense integration of photonics on bulk silicon. Opt. Express 19, 18 (2011). Google ScholarGoogle ScholarCross RefCross Ref
  12. J. T. Bessette and D. Ahn. 2013. Vertically stacked microring waveguides for coupling between multiple photonic planes. Opt. Express 21, 11 (2013), 13580--13591. Google ScholarGoogle ScholarCross RefCross Ref
  13. G. Calò and V. Petruzzelli. 2015. Wavelength routers for multilayer integrated optical networks on chip. In Proceedings of the 2015 17th International Conference on Transparent Optical Networks (ICTON’15). Google ScholarGoogle ScholarCross RefCross Ref
  14. G. Calò and V. Petruzzelli. 2016. Generic wavelength-routed optical router (GWOR) based on grating-assisted vertical couplers for multilayer optical networks. Opt. Commun. 366 (2016), 99--106. Google ScholarGoogle ScholarCross RefCross Ref
  15. A. Bianco, D. Cuda, M. Garrich, R. Gaudino, G. Gavilanes, P. Giaccone, and F. Neri. 2010. Optical interconnection networks based on microring resonators. In Proceedings of the IEEE International Conference on Communications, 2010. Google ScholarGoogle ScholarCross RefCross Ref
  16. L. Ramini, P. Grani, S. Bartolini, and D. Bertozzi. 2013. Contrasting wavelength-routed optical NoC topologies for power-efficient 3d-stacked multicore processors using physical-layer analysis. In Proceedings of Design, Automation 8 Test in Europe Conference 8 Exhibition (DATE’13), 1589--1594, 2013.Google ScholarGoogle Scholar
  17. S. Pasricha and S. Bahirat. 2011. OPAL: A multi-layer hybrid photonic NoC for 3D ICs. In Proceedings of the 16th Asia and South Pacific Design Automation Conference (ASP-DAC’11). Google ScholarGoogle ScholarCross RefCross Ref
  18. D. Dang, B. Patra, and R. Mahapatra. 2015. A 2-layer laser multiplexed photonic network-on-chip. Proceedings of the 16th International Symposium on Quality Electronic Design, 2015. Google ScholarGoogle ScholarCross RefCross Ref
  19. K. Chen, H. Gu, Y. Yang, and D. Fan. 2014. A novel two-layer passive optical interconnection network for on-chip communication. J. Lightwave Technol. 32, 9 (2014), 1770--1776. Google ScholarGoogle ScholarCross RefCross Ref
  20. S. Le Beux, H. Li, G. Nicolescu, J. Trajkovic, and I. O’Connor. 2014. Optical crossbars on chip, a comparative study based on worst-case losses. Concurr. Comput.: Pract. Exper. 26, 15 (2014), 2492--2503. Google ScholarGoogle ScholarCross RefCross Ref
  21. X. Zhang and A. Louri. 2010. A multilayer nanophotonic interconnetcion network for on-chip many-core communication. In Proceedings of the ACM/EDAC/IEEE Design Automation Conference (DAC’10).Google ScholarGoogle Scholar
  22. R. Morris, A. K. Kodi, and A. Louri. 2012. Dynamic reconfiguration of 3d photonic networks-on-chip for maximizing performance and improving fault tolerance. In Proceedings of the IEEE/ACM 45th Annual International Symposium on Microarchitecture, 2012.Google ScholarGoogle Scholar
  23. R. W. Morris, A. K. Kodi, A. Louri, and R. D. Whaley. 2014. Three-dimensional stacked nanophotonic network-on-chip architecture with minimal reconfiguration. IEEE Trans. Comput. 63, 1 (2014), 243--255. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. Y. Pan, J. Kim, and G. Memik. 2010. FlexiShare: Channel sharing for an energy-efficient nanophotonic crossbar. In Proceedings of the 16th International Symposium on High-Performance Computer Architecture (HPCA’16). Google ScholarGoogle ScholarCross RefCross Ref
  25. Z. Chen, H. Gu, Y. Yang, and D. Fan. 2014. A hierarchical optical network-on-chip using central-controlled subnet and wavelength assignment. J. Lightwave Technol. 32, 5 (2014), 930--938. Google ScholarGoogle ScholarCross RefCross Ref
  26. H. Li, S. Le Beux, G. Nicolescu, and I. O’Connor. 2015. Energy-efficient optical crossbars on chip with multi-layer deposited silicon. In Proceedings of the Asia and South Pacific Design Automation Conference (ASP-DAC’15). Google ScholarGoogle ScholarCross RefCross Ref
  27. A. Biberman, K. Preston, G. Hendry, N. Sherwood-Droz, J. Chan, J. S. Levy, M. Lipson, and K. Bergman. 2011. Photonic network-on-chip architectures using multilayer deposited silicon materials for high-performance chip multiprocessors. ACM J. Emerg. Technol. Comput. Syst. 7, 2 (2011), 7:1--7:25, 2011.Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. I. Loi, F. Angiolini, and L. Benini. 2007. Supporting vertical links for 3d networks-on-chip: Toward an automated design and analysis flow. In Proceedings of the 2nd International Conference on Nano-Networks (Nano-Net’07). 1--5.Google ScholarGoogle Scholar
  29. J. V. Campenhout, L. Liu, P. R. Romeo, D. V. Thourhout, C. Seassal, P. Regreny, L. D. Cioccio, J.-M. Fedeli, and R. Baets. 2008. A compact SOI-integrated multiwavelength laser source based on cascaded InP microdisks. IEEE Photon. Technol. Lett. 20, 16 (2008), 1345--1347. Google ScholarGoogle ScholarCross RefCross Ref
  30. D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, N. P. Jouppi, M. Fiorentino, A. Davis, N. Binkert, R. G. Beausoleil, and J. H. Ahn. 2008. Corona: System implications of emerging nanophotonic technology. In Proceedings of the 35th Annual International Symposium on Computer Architecture (ISCA’08). 153--164.Google ScholarGoogle Scholar
  31. H. Li, A. Fourmigue, S. Le Beux, I. O’Connor, and G. Nicolescu. 2016. Towards maximum energy efficiency in nanophotonic interconnects with thermal-aware on-chip laser tuning. (unpublished).Google ScholarGoogle Scholar
  32. A. M. Jones, C. T. DeRose, A. L. Lentine, D. C. Trotter, A. L. Starbuck, and R. A. Norwood. 2013. Ultra-low crosstalk, CMOS compatible waveguide crossings for densely integrated photonic interconnection networks. Opt. Express 21, 10 (2013), 12002--12013. Google ScholarGoogle ScholarCross RefCross Ref
  33. R. Schuster, A. Parini, and G. Bellanca. 2015. Parametric exploration of vertical tapered coupler for 3D optical interconnection. In Proceedings of the International Workshop on Optical/Photonic Interconnects for Computing Systems (OPTICS Workshop).Google ScholarGoogle Scholar
  34. Y. Huang, J. Song, X. Luo, T.-Y. Liow, and G.-Q. Lo. 2014. CMOS compatible monolithic multi-layer Si3N4-on-SOI platform for low-loss high performance silicon photonics dense integration. Opt. Express 22, 18 (2014), 21859--21865. Google ScholarGoogle ScholarCross RefCross Ref
  35. W. D. Sacher, Y. Huang, G. Q. Lo, and J. K. S. Poon. 2015. Multilayer silicon nitride-on-silicon integrated photonic platforms and devices. 2015. J. Lightwave Technol. 33, 4 (2015), 901--910. Google ScholarGoogle ScholarCross RefCross Ref
  36. V. Donzella, S. T. Fard, and L. Chrostowski. 2013. Study of waveguide crosstalk in silicon photonics integrated circuits. In Proceedings of the International Society for Optical Engineering (SPIE’13), Vol. 8915. Google ScholarGoogle ScholarCross RefCross Ref
  37. S. Le Beux, J. Trajkovic, I. O’Connor, G. Nicolescu, G. Bois, and P. Paulin. 2011. Optical ring network-on-chip (ORNoC): Architecture and design methodology. In Proceedings of Design, Automation 8 Test in Europe (DATE’11).Google ScholarGoogle Scholar
  38. C. Sciancalepore, B. B. Bakir, C. Seassal, X. Letartre, J. Harduin, N. Olivier, J.-M. Fedeli, and P. Viktorovitch. 2012. Thermal, modal, and polarization features of double photonic crystal vertical-cavity surface-emitting lasers. IEEE Photon. J. 4, 2 (2012), 399--410. Google ScholarGoogle ScholarCross RefCross Ref
  39. K. Ohira, K. Kobayashi, N. Iizuka, H. Yoshida, M. Ezaki, H. Uemura, A. Kojima, K. Nakamura, H. Furuyama, and H. Shibata. 2010. On-chip optical interconnection by using integrated III-V laser diode and photodetector with silicon waveguide. Opt. Express 18, 15 (2010), 15440--15447, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  40. S. Le Beux, J. Trajkovic, I. O’Connor, G. Nicolescu, G. Bois, and P. Paulin. 2010. Multi-optical network-on-chip for large scale mpsoc. IEEE Embed. Syst. Lett. 2, 3 (2010), 77--80, 2010.Google ScholarGoogle ScholarDigital LibraryDigital Library
  41. L. Ramini, D. Bertozzi, and L. P. Carloni. 2012. Engineering a bandwidth-scalable optical layer for a 3d multi-core processor with awareness of layout constraints. In Proceedings of the 2012 6th IEEE/ACM International Symposium on Networks on Chip (NoCS’12).Google ScholarGoogle Scholar
  42. C. Batten, A. Joshi, J. Orcutt, A. Khilo, B. Moss, C. Holzwarth, M. Popovic, H. Li, H. Smith, J. Hoyt, F. Kartner, R. Ram, V. Stojanovic, and K. Asanovic. 2008. Building manycore processor-to-dram networks with monolithic silicon photonics. In Proceedings of the Annual IEEE Symposium on High Performance Interconnects (HOTI’08). 21--30. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Energy-Efficiency Comparison of Multi-Layer Deposited Nanophotonic Crossbar Interconnects

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Journal on Emerging Technologies in Computing Systems
          ACM Journal on Emerging Technologies in Computing Systems  Volume 13, Issue 4
          October 2017
          267 pages
          ISSN:1550-4832
          EISSN:1550-4840
          DOI:10.1145/3098274
          • Editor:
          • Yuan Xie
          Issue’s Table of Contents

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 11 August 2017
          • Accepted: 1 April 2017
          • Revised: 1 March 2017
          • Received: 1 June 2016
          Published in jetc Volume 13, Issue 4

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader