skip to main content
research-article

Revisiting Routability-Driven Placement for Analog and Mixed-Signal Circuits

Published:05 October 2017Publication History
Skip Abstract Section

Abstract

The exponential increase in scale and complexity of very large-scale integrated circuits (VLSIs) poses a great challenge to current electronic design automation (EDA) techniques. As an essential step in the whole EDA layout synthesis, placement is attracting more and more attention, especially for analog and mixed-signal integrated circuits. Recently, experts in this field have observed a variety of analog-specific layout constraints to obtain high-performance placement solutions. These constraints include symmetry, alignment, boundary, preplace, abutment, range and maximum separation, and routability of the placement solutions. In this article, the effectiveness of slicing and nonslicing representation is investigated. Additionally, the technique of congestion-based virtual sizing is proposed. Experimental results show that the routability can be improved significantly by applying congestion-based virtual sizing. Results also show that the slicing representation can improve the regularity of the placement solutions and hence improve the routability with higher efficiency compared to the nonslicing representation.

References

  1. F. Balasa, S. C. Maruvada, and K. Krishnamoorthy. 2004. On the exploration of the solution space in analog placement with symmetry constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 23 (Feb. 2004), 177--191. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. P. Y. Chou, H. C. Ou, and Y. W. Chang. 2011. Heterogeneous B*-trees for analog placement with symmetry and regularity considerations. In Proceedings of IEEE/ACM International Conference on Computer Aided Design (2011), 512--516.Google ScholarGoogle Scholar
  3. G. G. E Gielen and R. A. Rutenbar. 2000. Computer-aided design of analog and mixed-signal integrated circuits. Proceedings of the IEEE 88 (Dec. 2000), 1825--1854. Google ScholarGoogle ScholarCross RefCross Ref
  4. C. Li, M. Xie, C. K. Koh, J. Cong, and P. H. Madden. 2004. Routability-driven placement and white space allocation. In Proceedings of IEEE/ACM International Conference on Computer Aided Design (Nov. 2004), 394--401.Google ScholarGoogle Scholar
  5. C. W. Lin, C. C. Lu, J. M. Lin, and S. J. Chang. 2012. Routability-driven placement algorithm for analog integrated circuits. In Proceedings of ACM International Symposium on Physical Design (Mar. 2012), 71--78. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. J. M. Lin, G. M. Wu, Y. W. Chang, and J. H. Chuang. 2005. Placement with symmetry constraints for analog layout design using TCG-S. In Proceedings of Asia and South Pacific Design Automation Conference (Jan. 2005), 1135--1138. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. P. H. Lin and S. C. Lin. 2007. Analog placement based on novel symmetry-island formulation. In Proceedings of IEEE/ACM Design Automation Conference (Jun. 2007), 465--470.Google ScholarGoogle Scholar
  8. Q. Ma, L. Xiao, Y. C. Tam, and F. Y. Young. 2011. Simultaneous handling of symmetry, common centroid, and general placement constraints. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 30, 1 (Jan. 2011), 85--95. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. Q. Ma, F. Y. Young, and K. P. Pun. 2007. Analog placement with common centroid constraints. In Proceedings of IEEE/ACM International Conference on Computer Aided Design (Nov. 2007), 579--585.Google ScholarGoogle Scholar
  10. H. Murata, K. Fujiyoshi, S. Nakatake, and Y. Kajitani. 1996. VLSI module placement based on rectangle-packing by the sequence-pair. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 15 (Dec. 1996), 1518--1524. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. S. Nakatake, M. Kawakita, T. Ito, M. Kojima, M. Kojima, K. Izumi, and T. Habasaki. 2010. Regularity-oriented analog placement with diffusion sharing and well island generation. In Proceedings of Asia and South Pacific Design Automation Conference (Jan. 2010), 305--311. Google ScholarGoogle ScholarCross RefCross Ref
  12. C. Toumazou, G. Moschytz, and B. Gilbert. 2002. Trade-Offs in Analog Circuit Design. Kluwer Academic Publishers. Google ScholarGoogle ScholarCross RefCross Ref
  13. D. F. Wong and C. L. Liu. 1986. A new algorithm for floorplan design. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 5 (1986), 101--107.Google ScholarGoogle Scholar
  14. L. Xiao, F. Y. Young, X. He, and K. P. Pun. 2010. Practical placement and routing techniques for analog circuit designs. In Proceedings of IEEE/ACM International Conference on Computer Aided Design (Nov. 2010), 675--679. Google ScholarGoogle ScholarCross RefCross Ref
  15. L. F. Xiao and F. Y. Young. 2009. Analog placement with common centroid and I-D symmetry constraints. In Proceedings of Asia and South Pacific Design Automation Conference (Jan. 2009), 353--360. Google ScholarGoogle ScholarCross RefCross Ref
  16. Y. Xu, Y. H. Zhang, and C. Chu. 2009. FastRoute 4.0: Global router with efficient via minimization. In Proceedings of Asia and South Pacific Design Automation Conference (Jan. 2009), 576--581.Google ScholarGoogle Scholar
  17. F. Y. Young, D. F. Wong, and H. H. Yang. 1999. Slicing floorplans with boundary constraints. In Proceedings of IEEE/ACM International Conference on Computer Aided Design (Sept. 1999), 1385--1389. Google ScholarGoogle ScholarCross RefCross Ref
  18. W. S. Yuen and F. Y. Young. 2003. Slicing floorplans with clustering constraint. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 22 (2003). Google ScholarGoogle ScholarDigital LibraryDigital Library
  19. H. Zhou, C. W. Sham, and H. Yao. 2013. Congestion-oriented approach in placement for analog and mixed-signal circuits. In Proceedings of the 5th Asia Symposium on Quality Electronic Design (Aug. 2013), 97--102. Google ScholarGoogle ScholarCross RefCross Ref
  20. H. Zhou, C. W. Sham, and H. Yao. 2014. Slicing floorplans with handling symmetry and general placement constraints. In Proceedings of IEEE Computer Society Annual Symposium on VLSI (Jul. 2014), 112--117. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Revisiting Routability-Driven Placement for Analog and Mixed-Signal Circuits

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Design Automation of Electronic Systems
          ACM Transactions on Design Automation of Electronic Systems  Volume 23, Issue 2
          March 2018
          341 pages
          ISSN:1084-4309
          EISSN:1557-7309
          DOI:10.1145/3149546
          • Editor:
          • Naehyuck Chang
          Issue’s Table of Contents

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 5 October 2017
          • Accepted: 1 July 2017
          • Revised: 1 June 2017
          • Received: 1 April 2017
          Published in todaes Volume 23, Issue 2

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader